KR20110112074A - 기판 처리 장치 및 방법 - Google Patents

기판 처리 장치 및 방법 Download PDF

Info

Publication number
KR20110112074A
KR20110112074A KR1020100031477A KR20100031477A KR20110112074A KR 20110112074 A KR20110112074 A KR 20110112074A KR 1020100031477 A KR1020100031477 A KR 1020100031477A KR 20100031477 A KR20100031477 A KR 20100031477A KR 20110112074 A KR20110112074 A KR 20110112074A
Authority
KR
South Korea
Prior art keywords
load lock
lock chamber
gas
chamber
passage
Prior art date
Application number
KR1020100031477A
Other languages
English (en)
Inventor
안요한
김진호
김범수
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020100031477A priority Critical patent/KR20110112074A/ko
Priority to US13/053,870 priority patent/US20110239937A1/en
Publication of KR20110112074A publication Critical patent/KR20110112074A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Abstract

본 발명은 기판 처리 장치 및 방법을 제공한다. 기판 처리 장치는 공정이 수행되는 공간을 제공하는 처리실의 하부에 배치되는 로드락 챔버를 포함한다. 기판을 지지하는 보트가 로드락 챔버 내에 위치하는 동안, 냉각부재는 로드락 챔버 내부를 상하방향으로 영역에 따라 상이하게 냉각한다.

Description

기판 처리 장치 및 방법{APPARATUS AND METHOD FOR TREATING SUBSTATES}
본 발명은 기판을 처리하는 장치 및 방법에 관한 것으로, 보다 상세하게는 기판상에 박막을 형성하는 장치 및 방법에 관한 것이다.
반도체 제조 공정에서 사용되는 저압 화학 기상 증착 공정은 상압보다 낮은 압력에서 기판의 표면에 박막을 증착시키는 방법으로, 증착막의 균일도(uniformity)가 좋으며, 많은 양의 웨이퍼에 대해 동시에 공정을 진행할 수 있을 뿐만 아니라 가스의 소모량이 적어 생산원가가 절감되어 최근에 주로 사용되고 있다.
저압 화학 기상 증착 공정을 수행하기 위해 장치로 일반적으로 종형 확산로(vertical diffusion furnace)가 사용된다. 종형 확산로에는 공정 튜브와 로드락 챔버가 상하방향으로 배치된다.
본 발명은 로드락 챔버 내부를 냉각할 수 있는 기판 처리 장치 및 방법을 제공한다.
또한, 본 발명은 미세 파티클에 의한 기판의 오염을 방지할 수 있는 기판 처리 장치 및 방법을 제공한다.
또한, 본 발명은 공정 시간을 단축할 수 있는 기판 처리 장치 및 방법을 제공한다.
본 발명은 기판 처리 장치를 제공한다. 기판 처리 장치는 공정이 수행되는 공간을 제공하는 처리실; 상기 처리실을 가열하는 가열부재; 상기 처리실의 하부에 배치되는 로드락 챔버; 기판을 지지하는 보트; 상기 처리실과 상기 로드락 챔버간에 상기 보트를 이동시키는 보트 구동부재; 및 상기 로드락 챔버의 내부를 냉각하는 냉각부재를 포함하되, 상기 냉각부재는 상기 로드락 챔버 내부를 상하방향으로 영역에 따라 상이하게 냉각할 수 있도록 제공된다.
상기 냉각부재는 상기 로드락 챔버 내부의 가스를 순환시키는 통로가 복수개 제공되는 순환 덕트를 포함하되, 각각의 상기 통로들은 상기 로드락 챔버내로부터 가스를 유입되는 유입구 및 상기 로드락 챔버로 가스를 배출하는 배출구를 가지되, 상기 통로들의 배출구는 서로 상이한 높이에 위치한다.
본 발명의 다른 실시예에 따른 기판 처리 장치는 내부에 공간이 형성된 챔버; 상기 챔버 내의 가스가 순환되는 복수개의 통로를 제공하는 순환덕트; 상기 순환덕트내에 설치되며, 상기 통로들 내의 가스를 독립적으로 냉각하는 냉각부재를 포함한다.
또한, 본 발명은 기판 처리 방법을 제공한다. 기판 처리 방법은 처리실 내부를 공정온도로 가열하여 보트에 지지된 기판에 대한 공정처리를 수행하고, 상기 보트를 상기 처리실 하부에 위치되는 로드락 챔버로 이송하고, 상기 로드락 챔버의 내부를 영역에 따라 냉각 정도가 상이하게 냉각한다.
본 발명에 의하면, 로드락 챔버 내부는 상하방향으로 영역에 따라 상이하게 냉각된다.
또한, 본 발명에 의하면, 로드락 챔버 내부구조물이 고온에 노출되는 것이 방지되어 내부 구조물의 열변형으로 인한 미세 파티클 발생이 예방된다.
또한, 본 발명에 의하면, 처리실 내의 공정온도와 예비온도 간의 온도 차이가 감소되어 온도조절로 인한 공정시간이 단축된다.
도 1은 본 발명의 일 실시예에 따른 기판 처리 장치를 개략적으로 보여주는 단면도이다.
도 2는 도 1의 선 a-a'를 따라 절단한 단면도이다.
도 3 내지 7은 각각 본 발명의 다른 실시예들에 따른 기판 처리 장치를 개략적으로 보여주는 단면도이다.
도 8은 본 발명의 일 실시예에 따른 기판 처리 과정을 나타내는 순서도이다.
도 9는 도 8의 공정 처리 단계를 나타내는 도면이다.
도 10은 도 8의 냉각 단계를 나타내는 도면이다.
도 11a는 본 발명의 냉각부재가 구동되기 전의 로드락 챔버의 내부온도를 나타내는 그래프이다.
도 11b는 본 발명의 냉각부재가 구동되는 동안 로드락 챔버의 내부온도를 나타내는 그래프이다.
이하, 본 발명의 실시 예를 첨부된 도면 도 1 내지 도 11b를 참조하여 더욱 상세히 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다.
도 1 및 2는 본 발명의 일 실시예에 따른 기판 처리 장치를 개략적으로 보여주는 도면이다. 도 1은 기판 처리 장치의 단면도이고, 도 2는 도 1의 선 a-a'를 따라 절단한 단면도이다.
도 1 및 2를 참조하면, 기판 처리 장치(1000)는 처리실(100), 로드락 챔버(200), 기판 지지부재(300), 그리고 냉각부재(400)를 포함한다. 처리실(100)은 기판(W)에 대한 확산공정 또는 증착공정과 같은 공정이 수행되는 공간을 제공하고, 로드락 챔버(200)는 보트(310)로/로부터 기판(W)이 로딩/언로딩되는 공간을 제공한다. 기판 지지부재(300)는 공정처리시 기판(W)을 지지하며, 처리실(100)과 로드락 챔버(200)간에 기판을 이송시킨다. 그리고 냉각부재(400)는 로드락 챔버(200)의 내부를 그 영역에 따라 냉각정도가 상이하게 로드락 챔버(200) 내부를 냉각한다. 이하 각 구성에 대하여 상세하게 설명하기로 한다.
처리실(100)에는 기판(W)에 대한 박막을 형성하는 공정이 이루어지는 공간을 제공하는 공정 튜브(110)가 배치된다. 공정 튜브(110)는 석영(quartz) 재질의 내부 튜브(inner tube)(120)와 석영 재질의 외부 튜브(outer tube)(130)를 가진다. 내부 튜브(120)는 상부 및 하부가 모두 개방된 원통형의 형상을 가진다. 외부 튜브(130)는 하부가 개방된 원통형의 측부와 돔 형상의 상부를 가진다. 외부 튜브(130)는 내부 튜브(120)를 감싸며 이로부터 일정거리 이격되게 설치된다.
외부 튜브(130)의 바깥쪽에는 외부 튜브(130)의 측부를 감싸도록 가열부재(140)가 배치된다. 가열부재(140)는 공정진행 중 외부 튜브(130)와 내부 튜브(120) 내부를 공정온도로 유지한다. 실시예에 의하면, 공정온도(Tp)의 범위는 600℃~900℃이다. 그리고, 가열 부재(140)는 공정처리가 완료된 후, 공정튜브(110)의 온도를 예비온도(Ts)로 유지한다. 예비온도(Ts)는 공정온도(Tp)보다 낮은 온도이다. 실시예에 의하면, 예비온도(Ts)의 범위는 400℃~600℃이다.
내부 튜브(120)와 외부 튜브(130)는 그 아래에 위치되는 플랜지(150)에 의해 지지된다. 플랜지(150)의 중앙에는 통공이 형성되며 이 통공을 통해 공정튜브(110)는 그 아래에 배치되는 로드락 챔버(200)의 내부와 통한다. 플랜지(150)는 대체로 상부와 하부가 개방된 원통 형상을 가지며, 외부 튜브(130)의 지름과 유사한 크기의 지름으로 제공된다.
플랜지(150)에는 외부 튜브(130)를 지지하는 외측 받침대(151) 및 내부 튜브(120)를 지지하는 내측 받침대(152)가 제공된다. 외측 받침대(151)는 링 형상으로 형성되며 플랜지(150)의 상단으로부터 바깥방향으로 연장된다. 내측 받침대(152)는 링 형상으로 형성되며, 플랜지(150)의 내측 벽으로부터 안쪽 방향으로 연장된다.
플랜지(150)의 일 측면에는 공정 튜브(110) 내로 공정 가스를 공급하는 공정 가스 공급 노즐(161)과 퍼지가스를 공급하는 퍼지 가스 공급 노즐(162)이 제공된다. 플랜지(150)의 타 측면에는 공정 튜브(110) 내 가스를 외부로 배기하는 가스 배기관(163)이 연결된다. 가스 배기관(163)에 의해 공정 진행시 공정 튜브(110) 내부는 저압으로 유지하고, 공정 튜브(110) 내에서 발생된 반응부산물 등은 외부로 강제 배기된다.
공정 가스 공급 노즐(161) 및 퍼지 가스 공급 노즐(162)은 내측 받침대(152)보다 아래쪽에 제공되고, 가스 배기관(163)은 내측 받침대(152)와 외측 받침대(151) 사이에 제공된다. 이와 같은 구조에 의해, 공정 가스는 내부 튜브(120)의 내측으로 유입되어 아래에서 위를 향하는 방향으로 흐르면서 보트(310)에 탑재된 기판들(W) 상에 증착되고, 공정 후 잔류하는 가스들은 외부 튜브(130)와 내부 튜브(120) 사이의 공간을 따라 위에서 아래 방향으로 흐르면서 가스 배기관(163)을 통해 외부로 배기된다.
플랜지(150)의 하부에는 로드락 챔버(200)가 위치한다. 로드락 챔버(200)는 기판(W)이 보트(310)에/로부터 로딩/언로딩되는 공간(201)을 가진다. 로드락 챔버(300)의 상부벽(210a)에는 개구(202)가 형성된다. 개구(202)는 보트(310)가 이동되는 통로로 제공된다. 개구(202)는 플랜지(150)의 중앙에 형성된 통공에 상응하는 지름으로 형성된다.
로드락 챔버(200)의 상부벽(210a)과 플랜지(150) 사이에는 공정튜브(110)로 제공된 공정가스가 외부로 누수되는 것을 방지하기 위한 실링부재(미도시)가 제공될 수 있다.
로드락 챔버(200)의 내부에는 셔터(220)가 제공된다. 셔터(220)는 로드락 챔버(200)의 상부벽(210a)에 인접하여 설치되며, 개구(202)를 개폐한다. 셔터(220)는 보트(310)가 처리실(100) 내에 위치하여 공정이 수행되거나, 로드락 챔버(200)내에 위치하여 기판(W)의 로딩 및 언로딩이 수행되는 동안, 개구(202)를 차단한다. 그리고, 셔터(220)는 보트(310)가 처리실(100)과 로드락 챔버(200) 사이를 이동하는 동안 개구(202)를 개방한다.
로드락 챔버(200)의 측벽(210b)에는 가스 공급관(231)과 가스 배기관(232)이 제공된다. 가스 공급관(231)은 로드락 챔버(200) 내부로 가스를 공급한다. 가스는 에어(air) 또는 질소가스등과 같은 비활성가스가 제공될 수 있다. 가스 배기관(232)은 로드락 챔버(200) 내부의 가스 일부를 로드락 챔버(200) 외부로 배기한다. 가스 공급관(231)과 가스 배기관(232)은 로드락 챔버(200)의 대향하는 양 측벽(210b, 210c)에 제공된다. 가스 공급관(231)은 일측벽(210c) 상부영역에 제공되고, 가스 배기관(232)은 타측벽(210b) 하부영역에 제공된다. 이와 달리, 가스 공급관(231)과 가스 배기관(232)은 동일한 높이에 제공될 수 있다. 가스 공급관(231)을 통해 로드락 챔버 (200)내부로 유입된 가스는 기판(W)들에 제공된 후, 배기관(232)을 통해 로드락 챔버(200) 외부로 배기된다.
기판 지지부재(300)는 기판(W)을 지지하는 보트(310) 및 처리실(100)과 로드락 챔버(200)간에 보트(310)를 이송시키는 보트 구동부(320)를 포함한다.
보트(310)는 상부판(311), 하부판(312), 그리고 수직 지지대(313)들을 포함한다. 상부판(311)과 하부판(312)은 원판 형상으로 제공되며, 상하로 서로 대향되도록 배치된다. 상부판(311)과 하부판(312) 사이에는 복수의 수직 지지대(313)들이 결합된다. 수직 지지대(313)들은 3 내지 4개가 제공되며, 각각의 수직 지지대(313)들은 상하방향으로 길게 제공된 로드 형상으로 제공된다. 각각의 수직 지지대(313)에는 그 길이방향을 따라 받침대(314)들이 일정거리 이격하여 복수개 제공된다. 받침대(314)들에는 기판(W)의 가장자리 영역 일부가 놓인다. 기판(W)은 동일 평면상에 배치된 3 내지 4개의 받침대(314)들에 의해 지지된다. 실시예에 의하면, 받침대(314)들은 50 내지 100매의 기판(W)이 동시에 적재될 수 있도록 제공된다.
보트 구동부(320)는 구동축(321) 및 보트 구동기(322)를 포함한다. 구동축(321)은 보트(310)의 하부에 위치하며, 보트(310)를 지지한다. 보트 구동기(322)는 구동축(321)과 연결되며, 구동축(321)을 상하방향으로 이동시킨다. 보트 구동부(320)는 기판(W)에 대한 공정 진행시 보트(310)를 처리실(100) 내에 위치시키며, 기판(W)이 로딩/언로딩되는 동안 보트(310)를 로드락 챔버(200) 내에 위치시킨다.
냉각 부재(400)는 로드락 챔버(200)의 내부를 냉각한다. 냉각부재(200)는 상하방향으로 영역에 따라 상이하게 로드락 챔버(200) 내부를 냉각한다. 냉각 부재(400)는 로드락 챔버(200) 내부의 가스를 순환시키는 순환 덕트(410)를 가진다. 순환 덕트(410)는 로드락 챔버(200)의 외부에 위치한다. 순환 덕트(410)에는 가스가 순환되는 통로(412, 413)가 복수개 제공된다. 통로(412, 413)들은 순환 덕트(410)를 따라 내부에 제공되는 벽(411)에 의해 구획된다. 각각의 통로(412, 413)들은 로드락 챔버(200)로부터 가스를 유입하는 유입구(412a, 413a)와 로드락 챔버(200)로 가스를 배출하는 배출구(412b, 413b)를 가진다. 배출구(412b, 413b)들은 서로 상이한 높이에서 로드락 챔버(200)의 내부와 연결된다.
실시예에 의하면, 순환 덕트(410)에는 두 개의 통로(412, 413)가 제공된다. 제1, 2통로(412, 413)는 순환 덕트(410)의 길이방향을 따라 내부에 제공된다. 제2통로(413)는 제1통로(412)와 로드락 챔버(200) 사이 영역에 위치한다. 제1통로(412)와 제2통로(413)는 순환덕트(410)를 따라 내부에 제공되는 벽(411)에 의해 구획된다. 제1통로(412)는 제1유입구(412a)와 제1배출구(412b)를 가지고, 제2통로(413)는 제2유입구(413a)와 제2배출구(413b)를 가진다. 제1, 2배출구(412b, 413b)는 제1, 2유입구(412a, 413a)보다 높은 위치에서 로드락 챔버(200)의 내부와 연결된다. 그리고, 제1배출구(412b)는 제2배출구(413b)보다 높은 위치에서 로드락 챔버(200)의 내부와 연결되고, 제2유입구(413a)는 제1유입구(412a)보다 높은 위치에서 로드락 챔버(200)의 내부와 연결된다. 제1, 2배출구(412b, 413b)와 제1, 2 유입구(412a, 413a)는 상하방향으로 일직선상에 배치될 수 있다. 상술한 구조에 의하여, 로드락 챔버(200) 내부는 제1, 2배출구(412b, 413b)들로부터 가스가 공급되는 상부 영역(UA)과 제1, 2유입구(412a, 413a)들로 가스가 유입되는 하부영역(BA)으로 구분된다. 하부영역(BA)은 상부영역(UA)의 하부에 위치한다. 상부영역(UA)은 제1배출구(412b)로부터 가스가 공급되는 제1공급영역(SA1)과 제2배출구(413b)로부터 가스가 공급되는 제2공급영역(SA2)으로 구분된다. 제1공급영역(SA1)은 제2공급영역(SA2)의 상부에 위치하며, 처리실(100)과 인접한 영역이다. 하부영역(BA)은 제1유입구(412a)로 가스가 유입되는 제1유입영역(IA1)과 제2유입구(413a)로 가스가 유입되는 제2유입영역(IA2)으로 구분된다. 제2유입영역(IA2)은 제1유입영역(IA1)의 상부에 위치한다. 제1공급영역(SA1), 제2공급영역(SA2), 제2유입영역(IA2), 그리고 제1유입영역(IA1)은 상부에서 하부로 순차적으로 로드락 챔버(200)의 내부에 배치된다.
필터(420)는 로드락 챔버(200)의 내부에 위치한다. 필터(420)는 배출구(412b, 413b)들에 인접하여 배치된다. 필터(420)는 배출구(412b, 413b)로부터 배출되는 가스를 정화한다. 필터(420)는 배출구(412b, 413b)들에서 배출되는 가스가 필터(420)를 통과하여 로드락 챔버(200) 내부로 공급될 수 있도록 충분한 면적으로 제공된다.
송풍기(430)는 순환 덕트(410)의 통로(412, 413)들에 각각 설치된다. 송풍기(430)는 통로(412, 413)들내로 가스를 흡입하고, 흡입된 가스를 통로(412, 413)들로부터 배출시킨다. 실시예에 의하면, 제1송풍기(431)는 제1배출구(412b)에 인접하여 제1통로(412)에 설치되며, 제2송풍기(432)는 제2배출구(413b)에 인접하여 제2통로(413)에 설치된다.
순환 덕트(410)의 통로(412, 413)들 중 적어도 어느 하나에는 냉각기(441)가 제공된다. 냉각기(441)는 통로(412, 413)들을 통해 순환하는 가스를 냉각한다. 실시예에 의하면, 제1통로(412)에는 제1냉각기(441a)가 제공되고, 제2통로(413)에는 제2냉각기(441b)가 제공된다. 제1냉각기(441a)는 제1유입구(412a)과 인접하여 제공되며, 제2냉각기(441b)는 제2유입구(413a)과 인접하여 제공된다.
제1냉각기(441a)와 제2냉각기(441b)는 온도 제어부(442)에 의해 제어된다. 온도 제어부(442)는 제1통로(412)를 순환하는 가스의 온도와 제2통로(413)를 순환하는 가스의 온도가 상이하도록 제1냉각기(441a)와 제2냉각기(441b)를 독립적으로 제어한다. 실시예에 의하면, 온도 제어부(442)는 제1통로(412)를 순환하는 가스의 온도가 제2통로(413)를 순환하는 가스의 온도가 낮도록 제1냉각기(441a)와 제2냉각기(441b)를 제어한다.
도 3 내지 7은 본 발명의 다른 실시예들에 따른 기판 처리 장치를 개략적으로 보여주는 단면도이다. 도 3 내지 7에서 기판 처리 장치의 처리실은 도 1의 처리실과 동일한 구성으로 제공되므로 도시하지 않았다.
도 3을 참조하면, 제1냉각기(441a)는 제1유입구(412a)에 인접하여 제1통로(412)에 위치하고, 제2냉각기(441b)는 제2유입구(413a)에 인접하여 제2통로(413)에 위치한다. 그리고, 제1송풍기(431)는 제1냉각기(441a)에 인접하여 제1통로(412)에 위치하고, 제2송풍기(432)는 제2냉각기(441b)에 인접하여 제2통로(413)에 위치한다.
도 4를 참조하면, 제1송풍기(431)는 제1배출구(412b)에 인접하여 제1통로(412)에 위치하고, 제2송풍기(432)는 제2배출구(413b)에 인접하여 제2통로(413)에 위치한다. 제1냉각기(441a) 제1송풍기(431)에 인접하여 제1통로(412)3에 위치하고, 제2냉각기(441b)는 제2송풍기(432)에 인접하여 제2통로(413)에 위치한다.
도 5를 참조하면, 제1송풍기(431)는 제1배출구(412b)에 인접하여 제1통로(412)에 위치하고, 제2송풍기(432)는 제2배출구(413b)에 인접하여 제2통로(413)에 위치한다. 냉각기(441)는 제1유입구(412a)에 인접하여 제1통로(412)에만 제공된다. 제1통로(412)를 순환하는 가스는 냉각기(441)에 의해 강제 냉각되고, 제2통로(413)를 순환하는 가스는 순환 덕트(410)의 주의 공기에 의해 자연냉각된다. 이에 의하여, 제1통로(412)를 순환하는 가스는 제2통로(413)를 순환하는 가스보다 낮은 온도로 냉각될 수 있다.
도 6을 참조하면, 순환 덕트(410) 내에는 세 개의 통로(412, 413, 414)가 제공된다. 제1통로(412)는 순환 덕트(410)내의 외측 영역에 위치하고, 제3통로(414)는 순환덕트(410)내의 내측 영역에 위치한다. 제2통로(413)는 제1통로(412)와 제3통로(414) 사이에 제공된다. 제1통로(412)와 제2통로(413)는 제1벽(411a)에 의해 구획되고, 제2통로(413)와 제3통로(414)는 제2벽(411b)에 의해 구획된다. 제1배출구(412b), 제2배출구(413b), 그리고 제3배출구(414b)는 상부에서 하부로 순차적으로 로드락 챔버(200)의 상부영역과 연결된다. 그리고, 제3유입구(414a), 제2유입구(413a), 그리고 제1유입구(412a)는 상부에서 하부로 순차적으로 로드락 챔버(200)의 하부영역과 연결된다. 송풍기(431 내지 433)는 배출구(412a, 413a, 414a)에 인접하여 각각의 통로(412 내지 414)에 제공된다. 냉각기(441 내지 443)는 유입구(412a, 413a, 414a)에 인접하여 각각의 통로(412 내지 414)에 제공된다. 온도 제어부(445)는 각각의 통로(412 내지 414)를 순환하는 가스의 온도가 상이하도록 냉각기(441 내지 443)들을 제어한다. 실시예에 의하면, 온도 제어부(445)는 제1통로(412)를 순환하는 가스가 제2, 3통로(413, 414)를 순환하는 가스보다 온도가 낮도록 제어한다. 그리고, 제2통로(413)를 순환하는 가스가 제3통로(414)를 순환하는 가스보다 온도가 낮도록 제어한다.
도 7을 참조하면, 로드락 챔버(200) 내부의 가스는 복수개의 순환 덕트(410a, 410b)들을 통해 순환한다. 각각의 순환 덕트(410a, 410b)에는 하나의 통로가 형성된다. 순환 덕트(410a, 410b)들의 배출구(413a, 413b)는 유입구(411a, 411b)보다 높은 위치에서 로드락 챔버(200) 내부와 연결된다. 제1순환덕트(410a)의 배출구(413a)는 제2순환 덕트(410b)의 배출구(413b)보다 높은 지점에 위치한다. 제2순환덕트(410b)의 유입구(411b)은 제1순환덕트(410a)의 유입구(411a)보다 높은 지점에 위치한다. 송풍기(431, 432)는 배출구(413a, 413b)와 입접하여 각각의 순환 덕트(410a, 410b) 내에 제공된다. 냉각기(441a, 441b)는 유입구(441a, 441b)와 인접하여 각각의 순환 덕트(410a, 410b) 내에 제공된다. 온도 제어부(442)는 제1순환덕트(410a)를 순환하는 온도가 제2순환덕트(410b)를 순환하는 온도보다 낮도록 제1냉각기(441a)와 제2냉각기(441b)를 제어한다.
상술한 바와 같은 구성을 가지는 본 발명에 따른 기판 처리 장치를 사용하여 기판을 처리하는 방법을 설명하면 다음과 같다.
도 8은 본 발명의 일 실시예에 따른 기판 처리 과정을 나타내는 순서도이다. 도 8을 참조하면, 기판 처리 방법은 기판이 지지된 보트를 처리실에 위치시키는 준비단계(S110), 기판에 대한 공정처리를 수행하는 공정처리단계(S120), 셔터를 개방하고 보트를 로드락 챔버 내로 이송하는 이송단계(S130), 셔터를 차단하여 처리실과 로드락 챔버 내 공간을 분리하는 분리단계(S140), 그리고 로드락 챔버 내부를 냉각하는 냉각 단계(S150)를 포함한다. 이하 각 단계에 대해 상세하게 설명하도록 한다.
도 9는 도 8의 공정 처리 단계를 나타내는 도면이다.
도 9를 참조하면, 공정 처리 단계(S120)에서 보트(200)는 공정튜브(110) 내부에 위치한다. 셔터(220)는 개구(202)를 차단하여 공정튜브의(110) 내부와 로드락 챔버(200)의 내부를 분리한다. 공정튜브(110)의 내부는 가열부재(140)에 의하여 공정 온도(Tp)로 가열된다. 공정튜브(110)의 내부가 공정온도로(Tp) 유지되는 동안, 공정 가스 공급 노즐(161)에서 공정 튜브내로 공정 가스가 공급된다. 공정 가스는 내부 튜브(120)의 내측으로 유입되어 아래에서 위를 향하는 방향으로 흐르면서 보트(310)에 탑재된 기판들(W) 상에 증착된다. 공정 후 잔류하는 가스들은 외부 튜브(130)와 내부 튜브(120) 사이의 공간을 따라 위에서 아래 방향으로 흐르면서 가스 배기관(163)을 통해 외부로 배기된다.
기판(W)에 대한 공정처리가 완료되면, 가열부재(120)는 공정튜브(110)의 온도를 예비온도로 유지한다. 공정튜브(110)의 내부가 공정온도로(Tp) 유지되는 동안, 퍼지 가스 공급 노즐(161)에서 공정 튜브(110)내로 퍼지 가스가 공급된다. 퍼지 가스는 공정 튜브(110)내에 잔류하는 공정 가스를 배기관(163)을 통해 공정 튜브(110) 외부로 배기한다.
공정튜브(110)의 내부 온도가 예비온도(Ts)로 유지되면, 셔터(220)가 개구(202)를 개방한다. 보트(310)는 개구(202)를 통해 공정튜브(110)로부터 로드락 챔버(200)의 내부로 이송된다. 보트(310)가 이송되는 동안, 개구(202)를 통하여 공정 튜브(110) 내의 열에너지가 로드락 챔버(200) 내부로 공급된다. 이에 인하여, 로드락 챔버(200) 내부는 온도가 급격히 상승한다.
보트(310)가 로드락 챔버(200)의 내부에 위치되면, 셔터(220)는 개구(202)를 차단하며, 공정튜브(110)의 내부와 로드락 챔버(200)의 내부는 분리된다.
도 10은 도 8의 냉각 단계를 나타내는 도면이다.
도 10을 참조하면, 보트(310)가 로드락 챔버(200)의 내부에 위치되는 동안, 가스 공급관(231)을 통하여 로드락 챔버(200) 내부로 가스가 공급된다. 가스 공급관(231)을 통해 공급된 가스는 로드락 챔버(200) 내부에 머무르는 가스를 퍼지시킨다. 로드락 챔버(200) 내부에 머무르는 가스는 일부가 가스 배기관(232)을 통해 외부로 배기된다.
로드락 챔버(200) 내부에 머무르는 가스의 다른 일부는 순환 덕트(410)에 형성된 통로(412, 413)들을 따라 순환된다. 로드락 챔버(200) 내의 하부영역(BA)에 머무르는 가스는 제1유입구(412a)와 제2유입구(413a)를 통해 유입되어 제1통로(412)와 제2통로(413)를 순환한다. 가스가 순환 덕트(410)를 순환하는 동안, 가스는 냉각기(441)에 의해 온도가 조절된다. 제1통로(412)를 순환하는 가스는 제1냉각기(441a)에 의해 냉각되고, 제2통로(413)를 순환하는 가스는 제2냉각기(441b)에 의해 냉각된다. 각각의 냉각기(441a, 441b)는 독립적으로 각 통로(412, 413)를 순환하는 가스를 냉각한다. 실시예에 의하면, 제1통로(412)를 순환하는 가스는 제2통로(413)를 순환하는 가스보다 낮은 온도로 냉각된다.
순환 덕트(410)에서 순환된 가스는 로드락 챔버(200) 내의 상부영역(UA)으로 공급된다. 제1통로(412)에서 순환된 가스는 제1배출구(412b)를 통해 제1공급영역(SA1)으로 공급된다. 그리고, 제2통로(413)에서 순환된 가스는 제2배출구(413b)를 통해 제2공급영역(SA2)으로 공급된다.
상술한 가스 순환에 의하여, 로드락 챔버(200)의 내부는 영역에 따라 냉각정도를 달리하여 냉각된다. 로드락 챔버(200)의 내부 냉각은 로드락 챔버(200) 내부의 상하방향을 따라 냉각 정도를 달리한다. 제1배출구(412b)를 통해 공급되는 가스는 제2배출구(413b)를 통해 공급되는 가스보다 온도가 낮게 유지되므로, 제1공급영역(SA1)에서 온도의 냉각정도는 제2공급영역(SA2)보다 크다.
도 11a는 본 발명의 냉각부재가 구동되기 전의 로드락 챔버의 내부온도를 나타내는 그래프이고, 도 11b는 본 발명의 냉각부재가 구동되는 동안 로드락 챔버의 내부온도를 나타내는 그래프이다.
도 10 및 11a를 참조하면, 로드락 챔버(200)내의 상부영역(UA)과 하부영역(BA)은 개구(202)를 통해 공정 튜브(110)로부터 공급된 열에너지 및 기판(W)으로부터 방사된 열에너지(RH2)에 의해 온도가 상승한다. 그리고, 로드락 챔버(200)내의 상부영역(UA)은 공정 튜브(110)에서 제공된 복사에너지(RH1)에 의하여 하부영역(BA)에 비하여 온도가 높게 유지된다. 이에 의하여, 로드락 챔버(200)의 내부영역은 전체적으로 온도가 상승하되, 공정튜브(110)와 인접한 상부영역(UA)이 하부영역보다 높은 온도로 유지된다. 그리고, 상부영역(UA)과 하부영역(BA)은 온도차가 크게 형성된다.
로드락 챔버(200) 내부의 온도증가로 로드락 챔버(200)의 내부구조물은 고온의 환경에 노출된다. 특히, 상부영역(UA)에 제공되는 구조물은 하부영역(BA)에 제공되는 구조물에 비하여 고온에 노출된다. 고온의 환경에 내부구조물이 노출되는 경우, 내부 구조물에는 열변형이 발생한다. 예컨데, 보트 구동부(322)에 제공되는 윤활유(grease) 또는 필터(420)에 제공되는 접착제등은 고온에서 쉽게 열변형된다. 이러한 내부구조물의 열변형은 미세 파티클의 공급원이 되며, 미세 파티클은 공정처리가 완료된 기판을 오염시킨다.
도 10 및 도 11b를 참조하면, 냉각부재(400)가 구동되는 동안, 배출구들을 통해 냉각된 가스가 로드락 챔버(200) 내의 상부영역(UA)으로 공급된다. 공급된 가스에 의해 로드락 챔버(200)가 냉각되어, 로드락 챔버(220)의 내부는 도 11a 보다 낮은 온도로 유지된다.
그리고, 처리실(100)과 인접한 영역인 제1공급영역(SA1)은 보다 낮은 온도로 냉각된 가스, 즉 제1배출구(412b)를 통해 배출되는 가스에 의해 냉각되므로 공정튜브(110)로부터 복사에너지가 제공되더라도 온도가 큰 폭으로 상승되지 않는다. 이로 인하여, 로드락 챔버(200)의 내부는 상하방향으로 균일한 온도로 냉각된다.
이와 같이, 로드락 챔버(200) 내부가 균일하게 냉각되므로 로드락 챔버(200)의 내부구조물이 고온의 환경에 노출되는 것이 예방된다.
상기 실시예들에서는 냉각부재(400)가 로드락 챔버(200) 내의 가스의 순환을 이용하여 로드락 챔버(200)를 냉각하는 구성에 대해 설명하였으나, 본 발명은 이에 한정되지 않는다.
상술한 실시예들과 달리, 냉각부재는 로드락 챔버와 연결되는 가스공급유닛으로부터 로드락 챔버 내부의 각 영역으로 상이한 온도의 가스를 제공할 수 있다. 가스의 온도는 서로 상이하게 제공된다.
또한, 냉각부재는 로드락 챔버내에 제공되는 복수개의 냉각기를 포함할 수 있다. 냉각기들은 상하방향으로 서로 이격하여 로드락 챔버 내부에 배치될 수 있다. 로드락 챔버의 상부영역에 배치되는 냉각기는 하부영역에 배치되는 냉각기에 비해 가스의 온도가 더 낮도록 제어 될 수 있다.
이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한, 전술한 내용은 본 발명의 바람직한 실시 형태를 나태 내고 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당 업계의 기술 또는 지식의 범위 내에서 변경 또는 수정이 가능하다. 저술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한, 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.
100: 처리실 110: 공정튜브
140: 가열부재 150: 플랜지
200: 로드락 챔버 220: 셔터
300: 기판 지지부재 310: 보트
320: 보트 구동부 400: 냉각 부재
410: 순환 덕트 420: 필터
431: 송풍기 441: 냉각부재
442: 온도 제어부

Claims (10)

  1. 공정이 수행되는 공간을 제공하는 처리실;
    상기 처리실을 가열하는 가열부재;
    상기 처리실의 하부에 배치되는 로드락 챔버;
    기판을 지지하는 보트;
    상기 처리실과 상기 로드락 챔버간에 상기 보트를 이동시키는 보트 구동부재; 및
    상기 로드락 챔버의 내부를 냉각하는 냉각부재를 포함하되,
    상기 냉각부재는 상기 로드락 챔버 내부를 상하방향으로 영역에 따라 상이하게 냉각할 수 있도록 제공되는 것을 특징으로 하는 기판 처리 장치.
  2. 제 1 항에 있어서,
    상기 냉각부재는
    상기 로드락 챔버 내부의 가스를 순환시키는 통로가 복수개 제공되는 순환 덕트를 포함하되,
    각각의 상기 통로들은 상기 로드락 챔버내로부터 가스를 유입되는 유입구 및 상기 로드락 챔버로 가스를 배출하는 배출구를 가지되,
    상기 통로들의 배출구는 서로 상이한 높이에 위치하는 것을 특징으로 하는 기판 처리 장치.
  3. 제 2 항에 있어서,
    상기 통로들은
    제1배출구를 가지는 제1통로;
    상기 제1배출구보다 낮은 위치에 배치되는 제2배출구를 가지는 제2통로를 포함하되,
    상기 냉각부재는
    상기 제1통로에 위치하며, 가스를 냉각하는 제1냉각기를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  4. 제 3 항에 있어서,
    상기 냉각 부재는
    상기 제2통로에 위치하며, 가스를 냉각하는 제2냉각기를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  5. 제 4 항에 있어서,
    상기 냉각부재는
    상기 제1냉각기와 상기 제2냉각기를 독립적으로 제어하는 온도 제어부를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  6. 내부에 공간이 형성된 챔버;
    상기 챔버 내의 가스가 순환되는 복수개의 통로를 제공하는 순환덕트;
    상기 순환덕트내에 설치되며, 상기 통로들 내의 가스를 독립적으로 냉각하는 냉각부재를 포함하는 것을 특징으로 하는 기판 처리 장치.
  7. 제 6 항에 있어서,
    상기 통로들은 상기 챔버로부터 가스가 흡입되는 유입구와 상기 로드락 챔버로 가스가 배출되는 배출구를 가지며,
    상기 순환덕트에는
    제1배출구를 가지는 제1통로;
    상기 제1배출구보다 낮은 위치에 배치되는 제2배출구를 가지는 제2통로가 형성되고,
    상기 냉각부재는
    상기 제1통로에 배치되는 제1냉각기;
    상기 제2통로에 배치되는 제2냉각기;
    상기 제1냉각기와 상기 제2냉각기를 독립적으로 제어하는 온도 제어부를 포함하는 것을 특징으로 하는 기판 처리 장치.
  8. 처리실 내부를 공정온도로 가열하여 보트에 지지된 기판에 대한 공정처리를 수행하고,
    상기 보트를 상기 처리실 하부에 위치되는 로드락 챔버로 이송하고,
    상기 로드락 챔버의 내부를 영역에 따라 냉각 정도가 상이하게 냉각하는 것을 특징으로 하는 기판 처리 방법.
  9. 제 8 항에 있어서,
    상기 로드락 챔버 내부의 냉각은 상기 로드락 챔버 내부의 상하방향을 따라 냉각정도가 상이한 것을 특징으로 하는 기판 처리 방법.
  10. 제 8 항 또는 제 9 항에 있어서,
    상기 로드락 챔버 내부의 냉각은
    상기 로드락 챔버 내부의 가스를 복수개의 통로가 형성된 순환 덕트를 통해 순환시키고, 상기 통로들에서 순환되는 가스를 독립적으로 냉각하여 상기 로드락 챔버로 공급하되,
    상기 통로들들 통해 공급되는 상기 로드락 챔버 내부의 영역은 서로 상이한 것을 특징으로 하는 기판 처리 방법.
KR1020100031477A 2010-04-06 2010-04-06 기판 처리 장치 및 방법 KR20110112074A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020100031477A KR20110112074A (ko) 2010-04-06 2010-04-06 기판 처리 장치 및 방법
US13/053,870 US20110239937A1 (en) 2010-04-06 2011-03-22 Apparatus and method for treating substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100031477A KR20110112074A (ko) 2010-04-06 2010-04-06 기판 처리 장치 및 방법

Publications (1)

Publication Number Publication Date
KR20110112074A true KR20110112074A (ko) 2011-10-12

Family

ID=44708126

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100031477A KR20110112074A (ko) 2010-04-06 2010-04-06 기판 처리 장치 및 방법

Country Status (2)

Country Link
US (1) US20110239937A1 (ko)
KR (1) KR20110112074A (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150086832A (ko) * 2014-01-20 2015-07-29 주식회사 풍산 반도체 기판처리용 냉각장치
KR20160082832A (ko) * 2014-12-29 2016-07-11 주식회사 비아트론 순환 냉각 유닛 및 이를 구비하는 열처리 장치
KR20180016294A (ko) * 2016-08-04 2018-02-14 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 프로그램, 유체 순환 기구 및 반도체 장치의 제조 방법
KR20190135455A (ko) * 2016-02-02 2019-12-06 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US11694907B2 (en) 2016-08-04 2023-07-04 Kokusai Electric Corporation Substrate processing apparatus, recording medium, and fluid circulation mechanism

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5696612B2 (ja) * 2011-07-22 2015-04-08 東京エレクトロン株式会社 熱処理装置
JP6837274B2 (ja) * 2015-06-30 2021-03-03 東京エレクトロン株式会社 半導体製造装置及び基板搬送方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3895215A (en) * 1973-11-23 1975-07-15 Jerry Dale Gordon Cabinet for holding food at a controllable temperature
US5607511A (en) * 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5254170A (en) * 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
US5150277A (en) * 1990-05-04 1992-09-22 At&T Bell Laboratories Cooling of electronic equipment cabinets
KR0167476B1 (ko) * 1990-09-27 1999-02-01 이노우에 다케시 종형 열처리 장치
TW239164B (ko) * 1992-08-12 1995-01-21 Tokyo Electron Co Ltd
JP3330166B2 (ja) * 1992-12-04 2002-09-30 東京エレクトロン株式会社 処理装置
JP3120395B2 (ja) * 1993-03-10 2000-12-25 東京エレクトロン株式会社 処理装置
JP3332039B2 (ja) * 1999-09-03 2002-10-07 株式会社日立製作所 回転電機
JP4365017B2 (ja) * 2000-08-23 2009-11-18 東京エレクトロン株式会社 熱処理装置の降温レート制御方法および熱処理装置
US6459579B1 (en) * 2001-01-03 2002-10-01 Juniper Networks, Inc. Apparatus and method for directing airflow in three dimensions to cool system components
WO2006026952A1 (de) * 2004-09-09 2006-03-16 Siemens Aktiengesellschaft Elektrisches aggregat
US7789962B2 (en) * 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150086832A (ko) * 2014-01-20 2015-07-29 주식회사 풍산 반도체 기판처리용 냉각장치
KR20160082832A (ko) * 2014-12-29 2016-07-11 주식회사 비아트론 순환 냉각 유닛 및 이를 구비하는 열처리 장치
KR101867914B1 (ko) * 2014-12-29 2018-07-17 주식회사 비아트론 순환 냉각 유닛 및 이를 구비하는 열처리 장치
KR20190135455A (ko) * 2016-02-02 2019-12-06 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US11124873B2 (en) 2016-02-02 2021-09-21 Kokusai Electric Corporation Substrate processing apparatus
KR20180016294A (ko) * 2016-08-04 2018-02-14 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 프로그램, 유체 순환 기구 및 반도체 장치의 제조 방법
US11694907B2 (en) 2016-08-04 2023-07-04 Kokusai Electric Corporation Substrate processing apparatus, recording medium, and fluid circulation mechanism

Also Published As

Publication number Publication date
US20110239937A1 (en) 2011-10-06

Similar Documents

Publication Publication Date Title
US11359283B2 (en) Reaction tube structure and substrate processing apparatus
US9589819B1 (en) Substrate processing apparatus
KR100554016B1 (ko) 기판 프로세싱 시스템을 위한 다기능 챔버
US20180327903A1 (en) Batch type processing apparatus
JP5101665B2 (ja) 基板載置台、基板処理装置および基板処理システム
KR20110112074A (ko) 기판 처리 장치 및 방법
US7432475B2 (en) Vertical heat treatment device and method controlling the same
KR101528138B1 (ko) 기판 처리 장치, 기판 지지구 및 반도체 장치의 제조 방법
TWI407494B (zh) 半導體處理裝置
JPH11204442A (ja) 枚葉式の熱処理装置
JP2010153467A (ja) 基板処理装置および半導体装置の製造方法
US11043402B2 (en) Cooling unit, heat insulating structure, and substrate processing apparatus
JP7011033B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JPH09232297A (ja) 熱処理装置
KR20110096348A (ko) 건식식각장치 및 이를 구비한 기판처리시스템
US11967512B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
KR102270549B1 (ko) 적재 유닛 및 처리 장치
JP4516318B2 (ja) 基板処理装置および半導体装置の製造方法
KR102554732B1 (ko) 이너 월 및 기판 처리 장치
KR100677989B1 (ko) 수직형 퍼니스
JP2005056905A (ja) 基板処理装置
KR100749546B1 (ko) 반도체 기판의 이송 장치, 기판 처리 장치, 그리고 이를이용한 기판의 온도 제어 방법
JP2004339566A (ja) 基板処理装置
KR20050058842A (ko) 반도체 제조장치
US20220319877A1 (en) Substrate processing apparatus and substrate processing method

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid