CN1735709A - 薄膜逐层沉积的方法和设备 - Google Patents

薄膜逐层沉积的方法和设备 Download PDF

Info

Publication number
CN1735709A
CN1735709A CNA2004800021159A CN200480002115A CN1735709A CN 1735709 A CN1735709 A CN 1735709A CN A2004800021159 A CNA2004800021159 A CN A2004800021159A CN 200480002115 A CN200480002115 A CN 200480002115A CN 1735709 A CN1735709 A CN 1735709A
Authority
CN
China
Prior art keywords
reactant
gas
flow
chamber
alp
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004800021159A
Other languages
English (en)
Inventor
A·帕朗基普
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1735709A publication Critical patent/CN1735709A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

简单地增加ALP的方法,本发明的优选实施方式包括增加ALP处理量的方法,该方法通过持续调节反应器中的气流,以获得晶片上的逐层生长而实现。第一反应物以载气的某一百分比被引入。第一时间间隔之后,第一反应物流量被降低,而载气流量被增加,以维持近似恒定的总气流。当第一反应物流量达到最小预先设定的数量时,第二反应物流被起动,并被增加,同时载气流量被降低,以维持恒定的总气流。该方法可替换性地包括增强反应物吸收和化学吸附的物质,该物质或者作为与表面反应的第一施加气体,或者作为反应物的附加的配体。还可进一步可替换性地包括周期性快速热退火以改善模性质,并行晶片处理和反应物容器。

Description

薄膜逐层沉积的方法和设备
发明背景
本发明是(a)2002年8月9日提交的序列号为10/216,079的美国专利的部分继续申请,序列号为10/216,079的美国专利是2001年9月10日提交的序列号为09/954,705的美国申请的部分继续申请,序列号为09/954,705的美国申请是1999年9月15日提交的序列号为09/396,588的美国申请的部分继续申请(该申请要求1998年9月16提交的序列号为60/100,594的美国临时申请的权益),序列号为09/396,588的美国申请是(i)1997年8月11日提交的序列号为08/909,461的美国申请,(ii)1999年1月12提交的序列号为09/228,835的美国申请(该申请要求1998年1月15提交的序列号为60/071,572的美国申请的权益)和(iii)1999年1月12提交的序列号为228,840的美国申请(该申请要求1998年1月15日提交的序列号为60/071,571的美国临时申请的权益);和(b)1999年9月15日提交的序列号为09/396,590的美国临时申请(其要求1998年9月16日提交的序列号为60/100,596的美国申请的优先权)的部分继续申请。每一上述申请的公开内容通过参考并入本文。
发明领域
本发明一般涉及在衬底上沉积材料的方法和设备,更具体地,涉及薄膜逐层沉积的方法和设备,其与传统的反应器设计相兼容,从而使得ALP可修改适用于更广泛的反应物来源,ALP反应化学,和反应器几何学,而不增加反应器和气体输送的复杂性,其中,通过提供持续调节的气流和压力,现有技术的泵-清洗步骤被省略掉,使得所有的输送阀都能保持打开,分流管道(diverter lines)关闭,因而避免阀在开/关状态之间的快速循环。
现有技术简述
薄膜的逐层沉积在半导体器件制造中变得越来越重要。相比起传统化学气相沉积和化学气相外延生长,逐层沉积提供了若干优点,包括对膜厚度的优异的控制能力,对整个晶片一致性的改善,以小的周期性厚度沉积层压薄膜的能力,和对膜性质诸如密度、共形性、绝缘特性等的显著改善,尤其是当总的膜厚度被缩小到10nm以下时。逐层沉积已经被用于各种膜,包括金属(Al、W、Ti等),半导体(Si、ZnSe、III-V族和II-VI族化合物),氧化物(SiO2、Ta2O5、Al2O3、TiO2、SrTiO3、HfOz、ZrO2等),氮化物(Si3N4、TiN、TaN、AlN),硅化物(TaSiN、TiSiN)和这些材料的纳米叠层(nanolaminates)。所有这些材料都与半导体器件具有显著的工业相关性。
在逐层增长中—也叫原子层处理(atomic layer processing)(ALP),膜每次大约沉积一单层;也就是说,每层厚度在原子间间隔级,并因此称为原子层处理。对于原子层处理,衬底顺序地被暴露于反应物流,这样,反应受限于饱和表面吸收的/化学吸附的反应物与后续脉冲提供的第二气相反应物之间的表面反应。这样,一旦表面吸收的反应物被消耗完后,反应物常常自限制,从而形成单层膜。最近,已经显示通过同样的技术促使由原子层沉积向交变层沉积(alternating layerdeposition)转变,可获得亚单层或若干单层。ALP技术已经被用于沉积外延生长和非外延生长膜。沉积外延生长膜的工艺称之为原子层外延生长(ALE),而沉积非外延生长膜的技术传统上称为原子层沉积(ALD)。ALP的蒸气源反应物可以是气体源或由热蒸发作用、液体源蒸发作用或远程等离子体分解产生的。
通过将晶片连续暴露于各种反应物来沉积膜的技术是为人所熟知的,并已经流行20多年了。对ALP的一个重要要求是需要在气相中将反应物相互隔离开来。对大部分ALP反应来说,在气体中隔离反应物对于防止反应物源之间的气相反应是必要的,也是抑制任何寄生化学气相沉积(parasitic chemical vapor deposition)所必要的,如果反应物同时存在于气相中,寄生化学气相沉积就会发生。当其他前体被引入时,一种前体的允许残余水平取决于工艺。确定一种前体的允许残余水平的标准是寄生CVD(parasitic CVD)对总沉积速率的贡献。对ALP工艺来说,尽管如果膜性质、膜均匀性和台阶覆盖(step coverage)不受损害的话,可以容忍更高值,但是寄生CVD对总沉积速率的贡献通常应该小于10%。事实上,寄生CVD可以被用于增强其他缓慢ALP工艺的沉积速率。对于一些工艺来说,CVD对总沉积速率的贡献必须小于1%,以避免颗粒产生气相反应。ALP期间前体相互完全隔离开来是不必要的,且在某些情况下,会是不利的。某些寄生CVD能防止不利逆反应的发生,否则逆反应会蚀刻正在沉积的膜。因此,文献中已经描述了前体部分隔离的许多方法。一种隔离反应物的方法是将它们限制在不同的区域,并且在不同区域之间移动衬底,以将衬底暴露于多种反应物的不同的剂量中。该方法描述于美国专利4,058,430。此方法的缺点是难以在用于化学气相沉积的传统反应器中实施,且尤其用于在衬底上需要高反应物分压以获得完全表面饱和的工艺中。隔离反应物的其他方法是以脉冲的形式连续地通过独立的输送管道将反应物馈送入含有一个或多个衬底的真空室。排出或抽吸步骤在相邻脉冲之间进行,以在引入下一反应物之前将一种反应物从室中排出。美国专利4,058,430描述了该更普遍使用的方法,即将不动或固定的衬底暴露于反应物的交替脉冲(alternating pulses)的方法,和在脉冲之间使用排出或抽吸步骤,以将反应物从室排出的方法。美国专利4,058,430描述了原子层沉积和原子层外延生长,其中,衬底被暴露于反应物的交替脉冲,而反应物在输送系统和室内被相互隔离。在任一这些技术中,都没有实现前体的完全隔离,但一种前体的残余浓度可以在其他前体被引入之前,被降低到任意低的水平。
对ALD工序的改进已经被提出过。一种改进是在排出步骤期间,同时引入清洗气体。这一般被称为泵-清洗步骤(pump-purge step)。当所有反应物和清洗气体沿着从气体入口到室排出口(exhaust)的同样的流动路程前进时,清洗气体充当气体扩散屏障,防止反应物之间的相互作用。该改进描述于美国专利4,389,973中。与同时进行的室排出相配合的该清洗气流也将反应物的残余浓度降低至微量水平(<1%),如美国专利6,015,590所述。多泵-清洗步骤也被用于在下一反应物脉冲被引入室之前,进一步降低室中的反应物浓度。由于其简单性和容易用于各类CVD反应器构造,该ALP模式被广泛使用,在下文中,它被称为传统ALP技术。对于单晶片ALP反应器来说,相比起仅仅使用抽吸,该方法提供了由室排出反应物的快速方法。这是因为在抽吸的同时进行的清洗能在短于1-2秒的时间标度上,将前体的浓度降低至微量水平,而如果抽吸被单独使用的话,则花费较长的时间(2-5秒)。
传统ALP工序显示于图1。注意反应物脉送(pulsing)和泵/清洗步骤。
ALP典型的沉积速率是1单层/循环,其转化为~1/循环。传统ALP技术的每一循环由下列步骤组成:
·引入反应物1的脉冲,以在衬底上形成吸收的/化学吸附的层,
·在用清洗气体脉冲来清洗反应器的同时,抽吸反应器,以将气相中的反应物1的残余浓度降低至微量水平,其中清洗气体通常是惰性的。
·将反应物2的脉冲引入室,以与衬底上吸收的/化学吸附的层反应,导致膜的形成。
·在用清洗气体脉冲来清洗反应器的同时,抽吸反应器,以将气相中的反应物2的残余浓度降低至微量水平,其中清洗气体通常是惰性的。
因此,该最简单的ALP循环由气体的四个独立的脉冲/步骤组成。对于典型的30-100的膜厚度来说,ALP循环的数量在30-100循环范围内。明显地,为了获得高晶片处理量,必须取得短的循环时间。理想地,10秒或更短的循环时间内能获得6循环/分钟或等同的6/分钟的沉积速率。因此,对于一次处理一个晶片的单晶片处理模式的最大处理量为30膜在10-12wph范围内,100膜在3-4wph范围内。将这与传统单晶片CVD模式的20-30wph处理量相比。对于对半导体器件批量生产具有成本效益的ALP处理来说,晶片处理量提高到5-10倍的改进是必要的。
高处理量对ALD是必要的,这有若干理由。自然地,高处理量降低拥有这些工艺的成本。更重要的是,ALD工艺与真空集成群集工具(vacuum integrated cluster tool)中的其他高处理量工艺联合使用。一个良好的例子是高介电率栅叠层(high-k gate stack)群集工具,其由用于预清洗、界面氧氮化物生长(interfacial oxynitride growth)、ALD Hi-k沉积和栅电极沉积模件组成。此具有最低处理量的模块操纵着该群集工具的处理量。理想地,各模块应该与最大生产率的处理量相匹配。
传统ALP的10秒的循环时间也使得2-3秒级别的极短脉冲和泵-清洗时间成为必要。如前所述,对于单晶片ALP来说,长于10秒的循环时间显著地降低晶片处理量,因此不具有生产使用价值。为了取得短的脉冲和泵-清洗时间的需要,引入了另外的硬件和工艺复杂性,这包括下述各项:
·必须设计反应物和清洗气体输送系统,以便反应物和清洗气体由输送系统到反应器的运送时间比起脉冲持续时间大大缩短。通常,这能通过将气体输送系统置于靠近反应器室的地方而实现,从而最小化输送管道的体积并使用载气将反应物运输到室。
·反应物气体和清洗气流组合物必须在几分之秒(fraction ofsecond)的时间标度上,在关闭状态和流量控制状态之间转换,以便在每一反应物脉冲中获得稳定的流量。这对于气体反应物源是可以实现的,而对液体反应物源、远程等离子体反应物源、和在若干秒的时间标度上做出反应的其他来源则更复杂些。对于这些来源,将流直接输送到泵的前级管道中的分流管道可以被使用。然而,反应物在泵的前级管道中混和,从而导致颗粒形成的危险必须引起注意。此外,被分流到泵的前级管道中的反应物被浪费掉。
·流量组件诸如流量控制器和阀在关状态和开状态之间的反复快速循环会导致室中压力爆发(pressure burst),和流量组件中颗粒形成/释放的增加,其不利地影响工艺的颗粒性能。此外,这些组件在关状态和开状态之间的恒定循环下的可靠性已证明是个大问题。·对于一些ALP处理,诸如使用SiCl4/NH3的SiN,用于完全表面饱和的反应物暴露剂量超过100torr.s,即如果晶片上面的反应物的分压是1托,需要100秒的暴露时间。可供选择的办法是使用高分压的反应物,例如每10秒为10托。这对于涉及液体源作为反应物的大部分场合是不适合的,因为液体源的蒸气压力不足以获得期望的分压。
在许多ALP工序中,活性副产物尽管以低浓度存在,其也能将反应引向相反的方向,导致原子层蚀刻。这是不利的,且必须被避免。该现象通常发生在产生HF或HCl作为反应副产物的ALP反应中。例如,在使用TiCl4和NH3的TiN的ALP中,HCl副产物能蚀刻已形成的TiN,除非存在有抑制逆反应的TiCl4低残余背景压力。在化合物半导体膜沉积期间,诸如使用Ga和As连续脉冲的GaAs膜,在Ga脉冲期间,As低分压必须存在,以防止As从沉积膜中挥发掉。反应物的低分压也可以对清洗步骤期间防止反应物脱附(desorb)是必要的。
ALP沉积温度通常比对等的CVD温度较低。这是因为所有表位的完全饱和是必要的,其发生在比用于对等的CVD工艺的温度微低的温度范围内。例如,TiN能在350-450℃下,使用ALP工序,从TiCl4/NH3沉积而得,而CVD工艺温度为450-550℃。在低温下操作的一个缺点是反应不能达到完全;这会导致非化学计量膜或具有高杂质含量的膜。例如,在用非氯化前体沉积得到的膜中,残留的氯污染通常是个问题。类似地,对于由有机前体沉积得到的膜来说,碳和氢污染是普遍存在的。自由基附助的ALP(Radical assisted ALP)已经被用来改善这些问题,并获得一定的成功,但是其暴露时间相当长,这延长了ALP循环的持续时间,降低了处理量。使用等离子体退火或等离子体增浓作用能加速处理,但是因为等离子体渗入膜的深度有限,杂质的去除在整个膜厚度内不均匀。而且,除了增强表面污染之外,将膜直接暴露于等离子体会对膜或下层器件结构产生等离子诱发的损害。制造与ALP和等离子体处理相容的,具有良好等离子体均匀性的反应器室也是非凡的工程学任务。
概述
本发明的目的是提供提高ALP工艺中的晶片处理量的方法和设备。
本发明的进一步的目的是增强ALP系统中晶片处理量,其通过利用并行晶片处理模式而实现,这样,处理量比单晶片ALP反应器高,比传统的单晶片CVD反应器更具有竞争性。
本发明的进一步的目的是提供改进的方法,用于隔离ALP系统输送设备反应器室中的反应物,和与传统反应器设计相容且不需要传统ALP中所实施的泵—清洗步骤的反应器排出管道(reactor exhaust line);从而使得ALP更可以被改善以适用更广泛的反应物源、ALP反应化学、和反应器几何学,而不增加反应器和气体输送复杂性。
本发明的进一步的目的是减少所需要的最小反应物暴露剂量,这通过增加衬底表面上的吸收/化学吸附速率而实现。
本发明的还有另一个的目的是抑制在ALP期间发生的逆反应,因而保持良好的膜完整性。
本发明的进一步的目的是增强原子层处理的晶片处理量,其通过利用并行晶片处理模式而实现,这样,处理量比单晶片ALP反应器高,比传统的单晶片CVD反应器更具有竞争性。
本发明的还有另一个的目的是提供通过利用快速热辅助ALP(rapid thermal assisted ALP)来从ALP膜中除去杂质而不会损害或增加表面杂质的方法。
简而言之,本发明的优选实施方式包括通过持续调节反应器中的气流以获得晶片上的逐层生长,来增加ALP处理量的方法。第一反应物以载气的某一百分比被引入。第一时间间隔后,第一反应物流量降低,而同时载气流量被增加,以维持近似恒定的总气流。当第一反应物流量达到最小预先设定的数量时,第二反应物流被起动,并被增加,同时载气流量被降低,以维持恒定的总气流。该方法可替换性地包括引入增强反应物吸收和化学吸附的物质,该物质或者作为与表面反应的第一施加气体,或者作为反应物的增加的配体。还可进一步选择性地包括周期性快速热退火以改善模性质,并行晶片处理和反应物容器。
本发明的优点是作为减少的反应物暴露剂量和暴露时间的结果,而减少了反应物的成本。
本发明进一步的优点是它防止了逆反应的发生,逆反应引起不利的原子层蚀刻。
本发明进一步的优点是它提供了增加晶片处理的处理量的方法和设备。
附图说明
图1示出了现有技术ALP工序;
图2是显示本发明实施方式中作为时间的函数的流量和压力变化的曲线图;
图3是本发明ALP操作的流程图;
图4是本发明气流的可替代实施方式的曲线图;
图5显示与多晶片反应器结合的ALP气体注射器的图;
图6A显示说明现有技术气体输送系统;
图6B是图6A系统的ALP操作的流程图;
图7A显示说明本发明气体输送系统;
图7B是图7A设备操作的流程图;
图7C显示图7A输送系统的一种形式,但可以适用于多通道注射器;
图8示出了与多晶片反应器结合的气体输送系统,该系统具有本发明反应物容器;
图9是多晶片反应器的透视图;
图10是显示使用化学吸附来增加ALP沉积速率的流程图;
图11是增加使用化学吸附的沉积的替代方法的流程图;
图12显示ALP单晶片反应器;
图13示出快速热退火的设备;
图14示出作为脉冲时间的函数的表面饱和度(surface saturation)
图15A是填充期间的室压与时间的关系曲线;
图15B是利用反应物容器进行填充期间的室压与时间的关系曲线,以及容器压力与时间的关系曲线,和
图16是具有两条曲线的曲线图,显示利用抽吸(曲线a)及利用抽吸和清洗(曲线b)来排出反应物的时间。
优选实施方式的描述
本发明原子层处理的方法的优选实施方式现在将参照图2的曲线图进行解释,图2显示了作为时间函数的反应物和载体压载物气体(carrier ballast gas)的流量。第一反应物气体的流量由曲线10表示,第二反应物气体由曲线12表示。压载物/载气的流量由曲线14表示,总气体流量由曲线16表示。参照图3的流程图,原子层处理气流的方法参照图3的流程进一步得到描述,图3的曲线图用于描述图2中的流量。载气由线14(图2)表示,其被注入并维持在持续流速(方框18)。然后根据预先设定的流量调节恒值线(modulation contour),在如图2项目20中所表示和方框22所示的第一时间段中,注入第一反应物气体(线10,图2)。图2和4中所示的该调节恒值线是梯形。本发明也包括其他恒值线,诸如正弦曲线等。当第一反应物流量被减少到方框26中所示的水平24时,第二反应物被引入,如图2线12所示,引入时间为第二时间段28(图2),如图3方框28所示。
第二阶段28期满之后,第二反应物被停止并被最小化(方框32),且第一反应物再次被增加。如果反应物2具有气体源,且反应物2的开/关控制是直接的,第二反应物可以被停止而不是被最小化。该循环的重复显示于图2,由图3中的回线(return line)34表示。图2和3中显示说明的方法,与图1中常规显示的现有技术具有显著的不同,在图1中,第一和第二反应物之间被明显地隔离开来。现有技术方法注入清洗气体,以便在该分离期间及时地冲洗掉反应物气体,和/或排空反应物室,以除去反应物气体。图4显示了本发明方法的替代实施方式,其中,在由第一反应物气体41向第二反应物气体43转变过程中,总气流42比起图2显示的更加保持恒定,这通过增加载气线38的峰面积36所示的载气而实现,载气线38与反应物气流41的峰谷(dip)40同时发生。本发明方法与现有技术的不同处在于不使用清洗或排空步骤。图2-4方法的优点是,对于特定持续时间的ALD循环来说,每一反应物的有效暴露剂量被增加,这是因为它不必等待清洗或排空。可替代地,每一反应物的暴露时间可以被减少,这减少了沉积一定量的原子层所需要的时间。
本发明的方法图示于图2、3、4,其描述了从第一到第二反应物的气流调节。本发明也包括任何数量的反应物,例如从第一到第二,然后到第三反应物的调节等。
上面参考图2-4所述的,由一种反应物到另一种反应物的反应物流量的持续调节的方法,大大地增加了ALP系统中衬底/晶片处理量。本发明也包括与附加的方法要素和设备结合的参考图2、3和4所描述的方法。图5显示了反应器44和气体注射设备46的结合,用于参考图2-4所述的ALP方法操作。在该图中,两种气体通过共同的气体注射器入口47进入反应器。通过独立的气体注射器,诸如通过多通道(multi-plenum)注射器,注入两种反应物,来改善反应物之间的空间隔离是有利的。多通道注射器描述于序列号为10/216,079的美国专利申请中,其内容通过以参考形式并入本公开内容。反应器44详细描述于序列号为08/909,461的美国专利中,其内容通过参考形式并入本公开内容。在反应器44中同时处理多个晶片显著地增加了晶片处理量。作为替代的实施方式,本发明的方法和设备包括反应物容器,用于提供增加的反应物供应。另外可选择的实施方式包括每一预先设定数量的循环后,进行快速热退火的方法和设备。快速热退火改善了在本发明方法和设备提供的高晶片处理量中的膜质量。该反应物容器图示于图8,适用于具有多晶片反应器的ALP。该容器也能与本发明的单晶片反应器一起使用。快速热退火描述于图3方框48中,作为可选择的实施方式,如虚线49所示。调节气流的方法和设备、ALP多晶片反应器、反应物容器和快速热退火的详细描述将在说明书下文和附图中描述。
参考图2-4所述的流量和压力的调节现将更详细地被描述。如上面所解释的,在现有技术ALP中所实施的泵-清洗步骤已被去除。取而代之的是,反应物流量和压力由一种反应物直接到另一种反应物被周期地调节。如图2中项目24所示,反应物的流量和压力被下降到任意低的值,而不是完全关闭。如前所述,当其他前体被引入时,一种前体的允许的残余水平取决于工艺操作。确定一种前体允许残余水平的标准是寄生CVD对总沉积速率的贡献。对ALP工艺来说,尽管如果膜性质、均匀性和台阶覆盖不受损害的话,可以经受更高的值,但是寄生CVD对总沉积速率的贡献通常应该小于10%。事实上,寄生CVD可以被用于增强其他缓慢ALP工艺的沉积速率。这被称为分子CVD。相比起ALP,分子CVD增强沉积速率,但依然获得相对良好的台阶覆盖、膜均匀性和良好的膜性质。对某些工艺来说,CVD对总沉积速率的贡献必须小于1%,以避免颗粒产生气相反应。而且,ALP期间前体相互完全隔离是不必要的,且在一些情况下,也可能是不利的。一些寄生CVD能防止不利逆反应的发生,不利逆反应会蚀刻正在被沉积的膜。根据本发明,已经发现,在常规现有技术ALP中的不连续的清洗步骤没有工艺益处,并只能用于将反应物相互隔离开来,现有技术系统中形成并坚决地持有这种观点。许多流量和压力调制波形(如正方形、三角形、梯形、正弦曲线、指数形等)能被独立使用或组合使用。本发明的梯形波形显示于图2和4。如上所述,载气流量分别以图2和4中的线14和38表示,通常有必要缩短由反应物输送系统到反应器室的气流运送时间。载气流速也控制反应物在室中的滞留时间。此外,载气稀释前体,从而减少在输送管中浓缩的可能性。在图2所示的方法中,当反应物流由一种反应物转变为另一种反应物时,通过室的总流速下降。在这转变中,增加载气流量来作为气体压载物(ballast)能补偿该下降,从而产生更恒定的总流速。其对ALP规程的进一步改进显示于图4项36中。
在图1所示的现有技术ALP工序中,不连续的反应物脉冲和抽吸/清洗步骤被包括其中。比起现有技术的反应物和惰性清洗气体的不连续脉冲,本发明的ALP规程提供许多优点。现有技术系统需要具有分流管道的气体输送系统,以当特定的反应物不被送入反应物室时,将反应物流转向泵的前级管道。本发明免除了对分流管道的需要,并且因而避免了反应物在泵前级管道中混和的问题。这将在说明书下文中进行更成分地描述。本发明的其他优点是,不需要现有技术所需要的阀和流量控制组件的快速循环,这是因为调节流量的时问标度比现有技术ALP循环长。这使得ALP规程与各种反应物源相兼容,包括升华了的固体、液体和远程等离子体。在现有技术系统中,反应物脉冲和清洗时间可以短至0.5-1秒,以便缩短ALP循环的持续时间。通过持续的流量调节,反应物的脉冲时间能被加倍,而不增加ALP循环总持续时间。
在现有技术中,气体组件和气体阀的快速循环可能导致压力爆发(pressure burst)。压力爆发常常使弱粘附的颗粒松脱而进入气流(gasstream),从而污染晶片。本发明平稳的流量调节消除了或至少最小化了压力爆发。现有技术气体阀的快速循环还能增加阀中相当大的颗粒形成,对暴露于高活性或具有低蒸气压的反应物的阀尤其如此。本发明的流量调节方法也允许在所有部分的循环中维持任意低的反应物浓度,以最小化不利的逆反应。在图2和4中,低残余流量的反应物1在循环中始终存在。在大部分情况下,当反应物1正在流动时,低残余水平的反应物2也是允许的。
在本发明的方法中,晶片表面暴露于反应物的有效暴露时间增加,而不增加ALP循环的持续时间。这可以通过比较图1和2被看到。对于具有固定持续时间的ALP循环来说,在图2中晶片表面暴露于任一反应物的有效暴露时间是图1中的值的两倍,这是因为不连续的惰性气体清洗步骤被消除。只会增加处理时间的现有技术的不连续的排出和惰性气体清洗步骤已经被消除。
图6A是现有技术反应物气体输送系统的简化示意图,图7A是本发明的反应物气体输送系统的简化示意图。只有主要的组件被显示。实际的系统包括更多的组件,诸如附加的阀、过滤器等,以便使得输送系统更强大且可维持性高。标有“控制”的框是流量控制器。控制器的类型包括质量流量控制器(mass flow controller),用于高压气体源,基于压力的流量控制器(pressure based flow controller),  用于低蒸气压气体源,和液体质量流量控制器和蒸发器的组合,用于液体源。质量流量控制器、扩散器(bubbler)和温度控制器的组合可以被用于固体源和液体源。图6A的现有技术系统48提供了反应物和惰性清洗气体的交替脉冲。通常,当62、64、66到控制器68、70、72的控制信号保持在它们的设定点值时,输送阀50、52、54和分流阀56、58、60在开/关之间转换,以将反应物脉冲输送给反应器。输送阀50、52、54显示与主流通道74串连,而分流阀56、58、60与分流管道76、78、80串连安装,分流管道76、78、80被连接到泵的前级管道(未显示)。
图7A显示了本发明改进的ALP规程的反应物输送系统82,其使用连续调制的流量和压力信号而不是开/关信号。请注意,除了单个分流管道84外,图6中的分流管道76、78、80已被免除,管道84可以被用于排出流量控制器92、94、96下游的气体输送管道86、88、90。在这儿,分流管道84被用作泵出管道(pump out line)而不是传统意义上的分流管道。该系统的操作相当不同于图6A中的系统。在操作中,输送阀100可以保持敞开,且分流阀104可以保持关闭。因此,阀在开/关状态之间的快速循环被避免。预先设定的波形被提供给每个流量控制器92、94、96,以便能获得图2中的反应物和载体/压载物流量。实际上,对于更快的反应,控制器92、94、96的106、108和109处的控制信号能直接提供给每一流量控制器的控制阀。质量流量控制器整合了内部流量感应器件(internal flow sensing device)和PID控制环(control loop)—其调节内部控制阀的位置,以获得响应外部提供的流量给定值的期望的流量。由于内部流量传感器的应答时间通常是1-2秒,质量流量控制器不能在短于几秒钟的时间内,对设定值的变换作出应答。对于持续的流量调节,质量流量控制器的应答时间必须被降低。给质量流量控制器提供的不是持续变化的设定点值,可以向内部控制阀直接施加缓慢变化的信号,以调节流量。以这种方式,质量流量控制器固有的缓慢的应答时间可以被克服。以这种方式,相比起用于现有技术ALP工序的输送系统,反应物输送系统的结构和操作被大大简化。使用图6A的系统的现有技术四步骤ALP工序的更详细的描述在图6B的流程图的方框59-65中给出。这些步骤被重复多次,以沉积期望的膜厚度,如回线67所示。
相比起图6B,使用图7A所示设备的本发明的ALP工序仅由两步组成,如图7B方框81和83中描述的组件的开/关转换被减少。这些步骤被重复,以获得期望的膜厚度,如回线85所示。
显示于7A中的,但适用于多通道注射器的输送系统的一种实施方式显示于图7C。图7C实施方式的反应物1和反应物2通过独立的出口(output)87和89,由图7C的系统输出,以便通过独立的通道1和2(未显示)经由独立的注射器输入室中。
使用反应物容器的另一可替代反应物输送系统显示于图8。第一和第二反应物源112和114提供蒸气116、118,以当相应的控制阀124和126不能与源112和114产生反应物蒸气一样快的速度提供流量给反应器128时,装载容器120和122。如上所解释的,控制器124和126不象现有技术系统那样,完全切断反应物。根据图2和4的方法,反应物容器依据控制器124、126所提供的控制器/阀的开放度,供应反应物—蒸气给室130。载体源控制器(carrier source controller)131也可以被调节,以维持更为恒定的气流,如上参考图4所述。当反应物由容器流入室时,容器被耗空,因而降低了上游气体压力。控制器124和126必须补偿上游压力的降低,以获得进入室的所期望的反应物流速。在ALP循环中,只有一种反应物以基本速率(substantial rate)流动,而第二反应物以大大降低的流速流入室。当一种反应物流入到室的流速降低时,相应的反应物容器被重新补充。反应物容器的体积应进行选择,以便容纳足够的反应物用于反应物供应步骤。
该反应物容器提供比现有技术系统较大供应量的反应物。经常地,在现有技术中,能被输送到室中的最大反应物流量受到反应物性质的限制。通常具有低蒸气压和不易挥发的液体或固体反应物源尤其这样。例如,考虑在现有技术系统中能以最大流速10sccm被输送的反应物,因为蒸发器只能挥发10sccm的反应物。在这种情况下,用该反应物来充满1升的反应器室至1托所需的时间约为8秒。作为比较,例如,如果本发明的反应物容器装载10托-1的反应物蒸气(如4升容器装载至1.5托),当容器排放入室时,1升的反应器室几乎瞬5间被充满至1脱。该改进对并行晶片处理反应器特别有利,并行晶片处理反应器的室体积超过单晶片室约一数量级。在不存在反应物容器时,来自反应物源的反应物流量将必须比单晶片ALP反应器的值高一个数量级。反应物容器不需要这些来自反应物源的高反应物流量。没有反应物容器或其他容纳蒸发的反应物蒸气的方法的情况下,如果输送阀被关闭,下游压力将到达难以接受的高水平。现有技术图6A显示了分流阀56-60和管道76-80,用于当与每一气体相应的分流阀50-54被关闭时,将过量蒸气分流到泵或也就是泵的前级管道。再次参看本发明图8,取代将流量分流入前级管道,该流量被积聚在反应物容器中,从而装载反应物容器。在典型的由四步骤组成的现有技术ALP工序中,在约25%的ALP循环期间,反应物流入室中。因为这个原因,在75%的ALP循环期间,反应物被转换入泵的前级管道,且实质上被浪费掉。将前体分流入泵的前级管道会有一些难以预料的后果,诸如颗粒的产生。在传统ALP工序中,当一种反应物被分流时,另一种反应物被引入室并因此被引入前级管道。因此,两种反应物在泵的前级管道中混和,这可能形成颗粒。用本发明的反应物容器,反应物或者流入室130或者被积聚在反应物容器中。反应物容器的内含物被周期性充入反应器室130中,从而释放了容器中的压力。用这种方式,反应物的浪费被减少,在泵的前级管道中的颗粒形成被避免。
用于ALP处理的图8的多晶片反应器128的优选实施方式显示于图9,其以横截面示意图显示出内部部分。参考美国专利10/216,079的图27和28,该反应器被详细描述,该美国专利的全部内容以参考形式被包括于本公开内容中。图9的多晶片反应器的室被设计成小尺寸体积,其符合成功的ALP循环的需要。非常普普遍地,图9的反应器包括多晶片舟皿(boat)133、气体入口135、排出装置137、加热器139和两个附加的口141、143,其可以用作清洗注射器口(cleaninginjector port)和热电偶口(thermocouple port)。每一个加热器和口在温度上受到控制器145的控制。图9中反应器的布置最小化了室内体积,按如上所述,这是重要的特征,以便能恰当地控制气流。
气体输送系统,诸如图8中的系统132,必须被设计成支持特定的应用。在气体输送系统中的组件是独立应用的。图8显示了两种反应物源112和114和一种载体源119,但本发明包括沉积特定的膜所需要的任何数量地反应物源。例如,为了沉积纳米叠层的AlOx/HfOx膜,输送系统包括一种或多种液体反应物源(例如三甲基铝、Hf-t-丁醇盐和水),一种或多种气体反应物(例如氧、臭氧、一氧化二氮、氨等),和自由基源(例如氢、氧或一氧化二氮远程等离子体,有或无载体如Ar)。安置气体输送系统,以便从每种反应物源到反应物室的反应物气体运送时间达到2秒以下。图9的反应器能与上面参考图6A描述的现有技术气体输送系统一起使用,该组合认为是新颖的,并改善ALP处理速度。图9的反应器也能与如图8显示的反应器容器结合使用。更优选地,图9的反应器能与本发明的气体输送系统一起使用,以调节参照图2-4中描述的气流。改进的输送系统的结构可以参考图7来描述,且还可以包括参考图8描述的反应物容器。
图9的室参考美国专利10/216,079的图被详细描述。图9的室并入本发明的ALP处理是对现有技术的改进,因为低的室体积能改善对反应物流量的控制,并因而使得室内反应物更快速的变化,从而最小化ALP循环和最大化反应物的利用。通过减小晶片间间隔及舟皿和周围室表面之间的间隙,图9的室中每个晶片的有效室体积被减少。气体注射器口(gas injector port)、排出装置口、清洗注射器口和热电偶口构成周围室表面。可替代地,图9中的反应器可以包括隔热屏,其与舟皿靠近并间隔开一定距离,也如描述于美国专利10/216,079中的那样。ALP处理温度通常在300-550℃范围内,因此舟皿和周围室表面之间的间隙能被减小,而不会过度加热注射器口、排出装置口、清洗注射器口和热电偶口,其温度受到控制,如美国专利10/216,079中所解释的那样。这样,每个晶片的无关体积能被降低至每一晶片对的晶片间体积的25%。有了这些改进,20-30秒的典型的ALP循环能容易地达到,其转化为2-3/分钟的沉积速率。本发明具有改善的调节的流量的并行晶片处理器/反应器能在同一时间处理最高达25个晶片。该处理一般包括10分钟的晶片装载/卸载,和10分钟架空(overhead)时间用于稳定晶片温度。总持续时间因此是处理时间加上架空时间的20分钟。以20分钟的架空时间,30膜的处理量每小时约40晶片(wph),100膜的处理量每小时约20晶片(wph)。将之与使用现有技术单晶片ALP的膜沉积的30膜10wph和100膜4wph的处理量相比,用这种方法能容易地产生5倍的改进。
对于ALP,利用每一反应物对晶片表面的完全饱和,对良好的膜均匀性、良好的台阶覆盖和良好的膜性质是必要的。表面饱和的程度主要取决于晶片暴露于反应物的时间(脉冲时间),如图14所示。表面饱和也受到反应化学的影响。一些反应物吸收非常快速(图14中的曲线a)。更经常地,饱和进行缓慢(图14中的曲线b),曲线(c)显示了利用随时间分解的反应物的饱和,曲线(d)显示了利用能脱附的反应物的饱和。
在所有的情况下,用每一反应物尽可能快地充满反应器,能在最短的时间里获得表面饱和。如果用反应物充满反应器的时间太长的话,反应物容易分解或脱附一段时间(图14中的曲线c和d),因为在第二反应物被引入之前,该反应物已经分解或脱附,ALP就不能进行。长的反应器充满时间对较大体积的室是个严重的问题。尽可能的减小室体积是至关重要的。反应物容器部分地缓解了大体积的室所需要的较长的充满时间。图15A显示了92升的并行晶片处理反应器的充满时间,如果稳定流量的1slm N2被使用的话。充满时间约5秒被规定为达到可能具有给定供应参数的最大室压的期望百分比所需要的时间。如果取而代之,使用装载至300托-升(90托×3.5升)的本发明反应物容器,160升室的充满时间被缩短至<2秒,如图15B所示。图15B也显示了当室被充满时,容器压力的降低。如果室体积被减小至45升的话——其对于设计用于同时处理25个晶片的图9的并行晶片处理反应器是可以做得到的,反应器充满时间将接近1秒。因此,通过使用反应物容器,实现了反应器充满时间的显著缩短。
单晶片ALP反应器使用抽吸组合,而同时从反应器室清洗排出反应物,这是因为比起单独抽吸,其更具时间效率。这是因为它花费几秒时间由充分关闭位置打开室压控制阀(即节流阀)。作为比较,气压阀能在几分之秒内的时间内被打开和关闭。然而,对于图9中显示的并行晶片处理反应器——其具有高传导性排出口(high conductanceexhaust port)和比起单晶片ALP反应器明显更大的室体积,单独抽吸而不是同时清洗能在较短的时间里充分地排出反应物。图16曲线“a”显示,将室压降低到比开始压力低2个数量级的抽吸时间约2-3秒;两曲线(a和b)代表类似起始和最终条件的实验操作数据。图16曲线“b”显示,如果抽吸和清洗同时被使用的话,需要明显更长的时间来获得反应物分压的同样水平的降低。
本发明的目的是增强原子层沉积的晶片处理量,通过实施增加化学吸附速率的方法,本发明的目的可以获得进一步促进。
对反应物脉冲时间的基本较低极限(fundamental lower limit)是在特定温度下获得完全表面饱和的反应物暴露剂量。通常地,选择温度以最大化反应物覆盖率和确保化学吸附的反应物和气相反应物之间的表面反应完全进行,从而产生具有低杂质含量的化学计量膜。对于一些反应物暴露剂量,超过100托对于完全饱和是所需要的,但其不利地影响了工艺的工业寿命。图10显示结合了增加ALD工艺化学吸附速率的一种方法。由方框134和136代表的一系列的反应物之后——但其根据选择的沉积可以是任何数量的反应物——将试剂注入室,试剂与正在被处理的晶片的表面发生反应(方框138)。该循环然后被重复,如回线140所示。ALP循环能够由方框138开始,以在注入第一反应物之前预处理表面。
表面化学吸附速率取决于反应物在晶片表面上的粘附系数(sticking coefficient)。高粘附系数导致高化学吸附速率。衬底的表面键合影响粘附系数。通常,羟基化的(OH)或C-H键合的表面改善粘着系数。通过在ALP工序引入方框138所示的试剂,表面键合可以被改变到期望的高活性,而该引入的试剂与表面发生反应。在阅读本公开内容之后,用于此目的的试剂的例子对本领域技术人员来说将是显而易见的。例如,水蒸气能充当试剂来羟基化晶片表面。该工艺能被用于SiN的ALP,因为SiCl4和Si2Cl6在SiN表面具有低粘附系数,但在羟基化的表面上具有相当高的粘附系数。有机试剂诸如乙醇、路易斯碱和碳氢化合物可以类似地进行作用。试剂的选择由粘附系数和引入的残余膜污染的改善决定。例如,当H2O被用于在每一ALP循环终止时羟基化SiN表面时,膜中的氧杂质水平可能会增加。
图11显示了在ALD工艺中增加化学吸附的另一方法。根据图11,通过加入活性配体到基本反应物(base reactant)来促进化学吸附,表面化学吸附速率被提高(方框142和144)。例如,在使用SiCl4的ALPSiN情况下,一种和多种有机配体被加入到基本反应物。有机配体与沉积的膜中的残余碳污染物结合,并促进化学吸附。可以被加入到基本反应物的其他物质包括许多氯化烷基硅烷,其比起氯化硅烷具有更高的化学吸附速率,尤其在具有微量掺入碳的表面上。对该方法的折衷类似于先前的方法。膜中的杂质水平可能较高。微量的某些杂质是可以被接受的,在一些情况下,其增强膜的性质。相比起用无碳的无机反应物沉积的膜,用掺入微量碳杂质的有机前体沉积的SiN膜被发现具有更低的漏电性。用于SiN和SiO2的ALD的氯化硅源(chlorinatedsilicon sources)的另一选择是用较重的卤素(heavier halogen)取代一个和多个氯原子。例如,四溴化硅或四碘化硅可以与NH3一起使用,以沉积SiN。这两种前体都具有较低的挥发性,并因此相比起氯化形式,对晶片表面具有具有较高的粘附系数。由氯化硅到四溴化硅或四碘化硅的转换的另一优点是,硅-卤素键较弱,因此ALP能在较低的温度下进行。低温通常有利于高粘附系数,其转化成高表面饱和作用。
增加化学吸附的还有一个选择是将配体加到一种试剂中,该试剂有目的地在膜中引入一些污染物。该污染物增加对其他配体的化学吸附速率。例如,氮源诸如烷基胺、烷基肼等,在沉积的膜中留下一些C-H污染物,其增加ALD SiN期间的硅源的化学吸附速率。
通过在生长期间,周期性对膜进行退火,本发明方法被进一步增强。换句话说,不是在整个膜沉积之后对膜进行退火,本发明是在生长期间周期性对膜进行退火,以便膜在整个厚度内被均匀地退火。参考现有技术方法,通过CVD沉积的膜通常在高于沉积温度下,在各种环境中(N2、AR、H2、O2、N2O和这些气体的混和物)进行退火,以改善膜性质,诸如密度、电缺陷密度(electrical defect density)、绝缘特性、应力、热稳定性等。ALP膜在相比起CVD在较低的温度下被沉积,并也能从退火中获得益处。应用于本发明的ALP工艺的快速热退火提供了对膜进行周期性的退火;例如,优选每5-50循环进行退火。
用于完成ALP处理中的快速热退火的设备图示于图12和13。图12显示了单晶片反应器146。待处理的晶片148被设置于上基座150和下基座152之间。反应器室154必须被最小化,以便在ALP处理中有效。通常用于CVD系统的大室体积不适用于ALP,这是由于需要室反应物的快速变化的缘故,如上所述。根据本发明,总室气体体积与图12构造或类似反应器的基座之间的体积之比应该小于3,优选小于1.5。上面描述的美国专利10/216,079中描述的多晶片室适用于ALP和快速热退火,这是由于可以停留气体的室总体积与基座之间的体积的比率低的缘故。
在图12的反应器中,通过对基座150和152施加或多或少的来自灯(lamp)156的热量,晶片的温度被快速地升高或降低。同时,退火气体被注入以退火膜。类似的反应器被图示于美国专利10/216,079的图10中。图13显示了快速升高或降低晶片158温度的替代方法。晶片158被支撑于销或杆160上,销160通过底基座162中的间隙孔(clearance holes)。设备164被设计用来提升和降低晶片158。顶基座166被加热器168加热到第一温度,然后底基座162被加热器170加热到第二温度。
在操作中,例如,顶基座166可以被加热到较高的温度,底基座被加热到较低温度。设备164然后被用来将晶片朝在上的顶基座166的方向提升,以提高晶片温度,进行退火,并将晶片朝基座162的方向降低,以得到ALP沉积所需要的较低的温度。
总之,改进的设计包括利用一对基座的反应器,该基座包封晶片。使用基座来加热晶片具有若干优点。基座之间的空间是等温环境,从而产生优异的晶片温度均匀性。当冷晶片被置于热基座之间时,基座快速将晶片由室温加热到工艺温度。基座形成系统热质量(thermalmass),基座间间隙限定了从注射器到排出口的流动传导性(flowconductance)。该布置使得待处理的多晶片尺寸具有同样的工艺处理配方(recipe),因为系统的热质量和通过基座的流动传导性独立于晶片尺寸。如美国专利10/216,079所述,如果晶片的直径比基座的直径足够小,基座空间先于晶片界定热边界层(thermal boundary layer)。当反应物气体由基座边起始,横穿热边界层时,在它达到晶片边缘之前被预先加热。因此在气流到达晶片边缘之前,流量和气体温度被完全建立起来,从而导致反应物以均匀且加热的状态被供应给晶片表面。作为例子,该预先加热对于均匀沉积高质量的氮化硅是必要的。
对于快速热辅助ALP,基座的使用提供了额外的优点。相比起具有较大体积和不具有基座的现有技术的室,被暴露于工艺气体的有效室体积被大大地减少。
尽管本发明以上参照具体的实施方式被描述,可以期望的是对本发明的改变和修饰对本领域技术人员来说无疑是显而易见的。因此目的是,以覆盖所有此种改变和修改的方式进行解释的下述权利要求书落在本发明的真实的发明精神和范围之内。

Claims (21)

1.一种材料沉积的方法,包括:
通过原子层处理在至少一个衬底上沉积所述材料,包括,将一系列气体顺序地注入到反应物室中,而不在注入另一种气体之前从所述室中清洗掉一种气体。
2.如权利要求1所述的方法,其中每一所述气体包括反应物气体和惰性载气的混合物。
3.如权利要求1所述的方法,其中,第二注入的所述气体包括在先注入的第一气体的减少的流量。
4.如权利要求1所述的方法,其中,所述气体包括第一激活气体,
所述第一激活气体在注入包括反应物气体的气体之前,激活所述衬底上的表面位置。
5.如权利要求4所述的方法,其中,所述系列的气体的数量足以沉积期望厚度的所述材料。
6.如权利要求4所述的方法,其中,所述第一激活气体用于增加所述衬底上的反应物的吸收和化学吸附速率。
7.如权利要求2所述的方法,其中,每一组的注射物中的至少一种气体包括配体,所述配体增强所述衬底上的反应物的吸收和化学吸附速率
8.如权利要求4所述的方法,还包括在每一预先设定数目的所述气体被注入后,将所述至少一个衬底进行快速热退火。
9.如权利要求1所述的方法,其中,所述反应器包括:
a)舟皿,用于容纳多个所述衬底;
b)第一多个加热器部分,其间隔开置于所述舟皿的周围;和
c)第二多个温度受控制的区域,其中每一区域位于两加热器部分之间。
10.如权利要求9所述的方法,其中,所述区域包括温度受控制的气体注射器,其设置有注射器板,用于提供通过每一所述衬底上的浓缩的气流。
11.如权利要求9所述的方法,其中,所述区域包括温度受控制的气体排出装置,其远离所述注射器并与所述舟皿相对设置,用于吸引或牵引所述气体通过每一所述衬底。
12.一种材料沉积的方法,包括;
通过原子层处理将所述材料沉积于至少一个衬底上,包括:将多种反应物气体注入沉积室中,其中在注入其中一种所述反应物气体转换为注入另一所述反应物气体期间,气流持续包括至少一种所述反应物气体。
13.如权利要求12所述的方法,其中,所述室是单晶片室。
14.如权利要求12所述的方法,其中,所述室是多晶片室。
15.一种在反应器室中进行的原子层沉积方法,其中,所述室是多晶片室。
16.一种在反应器室中进行的原子层沉积方法,其中,多种反应物气体被注入到所述室中,和其中,每一种所述反应物气体从专门的反应物容器注入,因此,所述反应物在泵的前级管道中被混和,前体输送系统的循环被最小化。
17.如权利要求12所述的方法,还包括在每一预先设定数目的组的注入后,将所述衬底进行快速热退火。
18.如权利要求15所述的方法,还包括在每一预先设定数目的组的注入后,将所述衬底进行快速热退火。
19.如权利要求16所述的方法,还包括在每一预先设定数目的组的注入后,将所述衬底进行快速热退火。
20.如权利要求1所述的方法,其中,所注入的气体包括至少一种反应物气体,和与所述衬底的表面反应以增加化学吸附速率的试剂。
21.如权利要求12所述的方法,其中,所述气体包括至少一种反应物气体,和与所述衬底的表面反应以增加化学吸附速率的试剂。
CNA2004800021159A 2003-01-13 2004-01-13 薄膜逐层沉积的方法和设备 Pending CN1735709A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/342,151 US7393561B2 (en) 1997-08-11 2003-01-13 Method and apparatus for layer by layer deposition of thin films
US10/342,151 2003-01-13

Publications (1)

Publication Number Publication Date
CN1735709A true CN1735709A (zh) 2006-02-15

Family

ID=32711656

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004800021159A Pending CN1735709A (zh) 2003-01-13 2004-01-13 薄膜逐层沉积的方法和设备

Country Status (6)

Country Link
US (1) US7393561B2 (zh)
EP (1) EP1590497A2 (zh)
JP (1) JP2006516304A (zh)
KR (1) KR20050100610A (zh)
CN (1) CN1735709A (zh)
WO (1) WO2004062341A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105518838A (zh) * 2013-07-02 2016-04-20 雅达公司 使用快速热加工形成异质外延层以除去晶格位错
US10249491B2 (en) 2013-09-23 2019-04-02 Ultratech, Inc. Method and apparatus for forming device quality gallium nitride layers on silicon substrates
CN112795897A (zh) * 2020-12-25 2021-05-14 广东先导先进材料股份有限公司 多晶硒化锌的制备方法
CN114959649A (zh) * 2022-05-19 2022-08-30 江苏微导纳米科技股份有限公司 一种基片处理设备和方法

Families Citing this family (441)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050188923A1 (en) * 1997-08-11 2005-09-01 Cook Robert C. Substrate carrier for parallel wafer processing reactor
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6800134B2 (en) * 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
US7442415B2 (en) * 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP4965247B2 (ja) * 2003-04-23 2012-07-04 アイクストロン・インコーポレーテッド 促進されたaldプロセス
US7833580B2 (en) * 2003-07-04 2010-11-16 Samsung Electronics Co., Ltd. Method of forming a carbon nano-material layer using a cyclic deposition technique
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US7625603B2 (en) * 2003-11-14 2009-12-01 Robert Bosch Gmbh Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
JP4583764B2 (ja) * 2004-01-14 2010-11-17 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) * 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) * 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7838072B2 (en) * 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
KR100597322B1 (ko) * 2005-03-16 2006-07-06 주식회사 아이피에스 박막증착방법
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
JP5137366B2 (ja) * 2006-01-24 2013-02-06 株式会社日立国際電気 基板処理システム及び液体材料供給装置
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7789319B2 (en) * 2006-05-17 2010-09-07 Micron Technology, Inc. System and method for recirculating fluid supply for an injector for a semiconductor fabrication chamber
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7976897B2 (en) * 2007-02-21 2011-07-12 Micron Technology, Inc Thermal chemical vapor deposition methods, and thermal chemical vapor deposition systems
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US10378106B2 (en) * 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9649715B2 (en) * 2009-12-30 2017-05-16 Lincoln Global, Inc. Pulse width modulation control of gas flow for plasma cutting and marking
JP2011151294A (ja) * 2010-01-25 2011-08-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
KR20120000612A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 반도체 장치의 제조 방법
US8652573B2 (en) 2010-07-15 2014-02-18 Asm International N.V. Method of CVD-depositing a film having a substantially uniform film thickness
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524322B2 (en) 2010-12-28 2013-09-03 Asm International N.V. Combination CVD/ALD method and source
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5945430B2 (ja) * 2012-02-29 2016-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置、及びプログラム
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6245643B2 (ja) * 2013-03-28 2017-12-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR101390474B1 (ko) 2013-04-08 2014-05-07 주식회사 유진테크 기판처리장치
JP2015012179A (ja) * 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6405958B2 (ja) * 2013-12-26 2018-10-17 東京エレクトロン株式会社 エッチング方法、記憶媒体及びエッチング装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
JP2020026571A (ja) * 2018-08-17 2020-02-20 東京エレクトロン株式会社 成膜方法及び成膜装置
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN113227450A (zh) * 2019-02-28 2021-08-06 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
JP7407521B2 (ja) * 2019-04-26 2024-01-04 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
JP7300898B2 (ja) * 2019-06-11 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202200830A (zh) * 2020-02-26 2022-01-01 美商應用材料股份有限公司 用於ald 處理的循序脈衝和淨化
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS51144183A (en) * 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
JPS53112066A (en) * 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
DE2849240C2 (de) 1978-11-13 1983-01-13 Siemens Ag, 1000 Berlin Und 8000 Muenchen CVD-Beschichtungsvorrichtung für Kleinteile und ihre Verwendung
JPS5846057B2 (ja) * 1979-03-19 1983-10-14 富士通株式会社 プラズマ処理方法
US4258858A (en) * 1979-07-09 1981-03-31 Russell Robert L Apparatus for sealing floating roof tanks
US4381965A (en) * 1982-01-06 1983-05-03 Drytek, Inc. Multi-planar electrode plasma etching
US4565157A (en) * 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
DE3429899A1 (de) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4858557A (en) * 1984-07-19 1989-08-22 L.P.E. Spa Epitaxial reactors
US4811684A (en) * 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US4784874A (en) * 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
JPS61197638A (ja) 1985-02-28 1986-09-01 Sumitomo Bakelite Co Ltd プラズマ処理装置及び方法
US4870245A (en) * 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
US4653428A (en) * 1985-05-10 1987-03-31 General Electric Company Selective chemical vapor deposition apparatus
US4728389A (en) * 1985-05-20 1988-03-01 Applied Materials, Inc. Particulate-free epitaxial process
EP0250603B1 (en) * 1985-12-09 1994-07-06 Nippon Telegraph and Telephone Corporation Process for forming thin film of compound semiconductor
JPH0647727B2 (ja) * 1985-12-24 1994-06-22 キヤノン株式会社 堆積膜形成法
US5391232A (en) * 1985-12-26 1995-02-21 Canon Kabushiki Kaisha Device for forming a deposited film
US4969416A (en) * 1986-07-03 1990-11-13 Emcore, Inc. Gas treatment apparatus and method
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5427824A (en) * 1986-09-09 1995-06-27 Semiconductor Energy Laboratory Co., Ltd. CVD apparatus
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4976996A (en) 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
JPH01125821A (ja) * 1987-11-10 1989-05-18 Matsushita Electric Ind Co Ltd 気相成長装置
JP2502661B2 (ja) * 1988-03-04 1996-05-29 松下電器産業株式会社 気相成長装置
JPH01242163A (ja) * 1988-03-25 1989-09-27 Jidosha Kiki Co Ltd 塗膜シェルの外周剪断かしめ部のコーティング方法および装置
JP2768685B2 (ja) * 1988-03-28 1998-06-25 株式会社東芝 半導体装置の製造方法及びその装置
US5225036A (en) * 1988-03-28 1993-07-06 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5130269A (en) * 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
KR960012876B1 (ko) * 1988-06-16 1996-09-25 도오교오 에레구토론 사가미 가부시끼가이샤 열처리 장치
US5458724A (en) * 1989-03-08 1995-10-17 Fsi International, Inc. Etch chamber with gas dispersing membrane
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
JP2603722B2 (ja) 1989-06-09 1997-04-23 日本電子株式会社 高周波誘導結合プラズマ質量分析装置
DE69032952T2 (de) * 1989-11-15 1999-09-30 Kokusai Electric Co Ltd Trocken-Behandlungsvorrichtung
US5203956A (en) * 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5614257A (en) * 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
JP3121131B2 (ja) * 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
US5198071A (en) * 1991-11-25 1993-03-30 Applied Materials, Inc. Process for inhibiting slip and microcracking while forming epitaxial layer on semiconductor wafer
JP3156326B2 (ja) * 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
US5291030A (en) * 1992-06-04 1994-03-01 Torrex Equipment Corporation Optoelectronic detector for chemical reactions
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JPH065552A (ja) * 1992-06-17 1994-01-14 Tokyo Electron Ltd ガス処理装置
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5356475A (en) * 1993-02-22 1994-10-18 Lsi Logic Corporation Ceramic spacer assembly for ASM PECVD boat
JPH0794431A (ja) 1993-04-23 1995-04-07 Canon Inc アモルファス半導体用基板、該基板を有するアモルファス半導体基板、及び該アモルファス半導体基板の製造方法
JPH06330323A (ja) 1993-05-18 1994-11-29 Mitsubishi Electric Corp 半導体装置製造装置及びそのクリーニング方法
EP0636704B1 (en) * 1993-07-30 1999-11-03 Applied Materials, Inc. Silicon nitride deposition
JP2776726B2 (ja) * 1993-09-21 1998-07-16 日本電気株式会社 半導体装置の製造方法
EP0664347A3 (en) * 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
US5650197A (en) * 1994-03-11 1997-07-22 Jet Process Corporation Jet vapor deposition of organic molecule guest-inorganic host thin films
TW299559B (zh) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5493987A (en) 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
US5493967A (en) * 1994-09-16 1996-02-27 Pitney Bowes Inc. Value selection and printing apparatus including a security device
JP3058037B2 (ja) 1994-11-07 2000-07-04 株式会社島津製作所 質量分析装置
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08167605A (ja) * 1994-12-15 1996-06-25 Mitsubishi Electric Corp シリコン窒化膜の製造方法
EP0726260A1 (en) * 1995-02-08 1996-08-14 American Cyanamid Company Herbicidal (1,2,4)thiadiazoles
US5556521A (en) * 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
US5554220A (en) * 1995-05-19 1996-09-10 The Trustees Of Princeton University Method and apparatus using organic vapor phase deposition for the growth of organic thin films with large optical non-linearities
JP3220619B2 (ja) * 1995-05-24 2001-10-22 松下電器産業株式会社 ガス伝熱プラズマ処理装置
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5574963A (en) * 1995-07-31 1996-11-12 Lee S. Weinblatt Audience measurement during a mute mode
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6258719B1 (en) * 1998-07-01 2001-07-10 Honeywell International Inc. Intermetallic aluminides and silicides articles, such as sputtering targets, and methods of making same
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
JP3214558B2 (ja) 1998-11-06 2001-10-02 住友金属工業株式会社 シリコン単結晶ウェーハの熱処理装置
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001103833A (ja) 1999-10-08 2001-04-17 Yanmar Agricult Equip Co Ltd 汎用コンバインの還元処理構造
JP2001237193A (ja) 1999-12-15 2001-08-31 Semiconductor Leading Edge Technologies Inc 熱処理装置用ウェハボートおよび熱処理方法
US6342445B1 (en) * 2000-05-15 2002-01-29 Micron Technology, Inc. Method for fabricating an SrRuO3 film
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105518838A (zh) * 2013-07-02 2016-04-20 雅达公司 使用快速热加工形成异质外延层以除去晶格位错
US10249491B2 (en) 2013-09-23 2019-04-02 Ultratech, Inc. Method and apparatus for forming device quality gallium nitride layers on silicon substrates
CN112795897A (zh) * 2020-12-25 2021-05-14 广东先导先进材料股份有限公司 多晶硒化锌的制备方法
CN114959649A (zh) * 2022-05-19 2022-08-30 江苏微导纳米科技股份有限公司 一种基片处理设备和方法
WO2023222033A1 (zh) * 2022-05-19 2023-11-23 江苏微导纳米科技股份有限公司 一种基片处理设备和方法

Also Published As

Publication number Publication date
US7393561B2 (en) 2008-07-01
WO2004062341A3 (en) 2004-11-25
JP2006516304A (ja) 2006-06-29
WO2004062341A2 (en) 2004-07-29
EP1590497A2 (en) 2005-11-02
US20030134038A1 (en) 2003-07-17
KR20050100610A (ko) 2005-10-19

Similar Documents

Publication Publication Date Title
CN1735709A (zh) 薄膜逐层沉积的方法和设备
US8507389B2 (en) Methods for forming dielectric layers
TWI589722B (zh) 用以透過與有機金屬共反應物之交叉歧化反應而沉積SiC與SiCN膜之設備及方法
CN1926668B (zh) 在高介电常数的介电材料上的硅的氮氧化物层的形成
CN101052745B (zh) 用于高介电常数含铪介电材料的原子层沉积的装置和方法
EP1216106B1 (en) Improved apparatus and method for growth of a thin film
CN1191614C (zh) 在原子层沉积过程中使寄生化学气相沉积最小化的装置和原理
CN101040371A (zh) 用于形成多组分介电薄膜的直接液体注入系统和方法
US8076251B2 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
CN1768158A (zh) 纳米层沉积法
CN1732288A (zh) 形成高质量的低温氮化硅层的方法和设备
CN1735710A (zh) 形成高质量的低温氮化硅膜的方法和设备
CN1650043A (zh) 用单晶片低压cvd淀积氧化硅和氮氧化物的方法
CN1712560A (zh) 垂直cvd装置和使用它的cvd方法
CN101807524A (zh) 半导体装置的制造方法及衬底处理装置
CN103221586A (zh) 在金属氮化物生长模板层上形成块状iii族氮化物材料的方法以及由所述方法形成的结构体
KR101304395B1 (ko) 하프늄-함유 높은-k 유전체 물질의 원자 층 증착을 위한 장치 및 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication