JP6538300B2 - 感受性基材上にフィルムを蒸着するための方法 - Google Patents

感受性基材上にフィルムを蒸着するための方法 Download PDF

Info

Publication number
JP6538300B2
JP6538300B2 JP2013231188A JP2013231188A JP6538300B2 JP 6538300 B2 JP6538300 B2 JP 6538300B2 JP 2013231188 A JP2013231188 A JP 2013231188A JP 2013231188 A JP2013231188 A JP 2013231188A JP 6538300 B2 JP6538300 B2 JP 6538300B2
Authority
JP
Japan
Prior art keywords
reactant
substrate
oxidation
plasma
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013231188A
Other languages
English (en)
Other versions
JP2014146786A (ja
JP2014146786A5 (ja
Inventor
フ・カン
シャンカー・スワミナタン
エイドリアン・ラボイエ
ジョン・ヘンリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2014146786A publication Critical patent/JP2014146786A/ja
Publication of JP2014146786A5 publication Critical patent/JP2014146786A5/ja
Application granted granted Critical
Publication of JP6538300B2 publication Critical patent/JP6538300B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

[関連案件の相互参照]
本出願は、2012年11月8日に出願された名称「感受性基材上にフィルムを蒸着するための方法」の米国仮特許出願第61/724217号の利益を主張するものであり、上記出願は参照によりその全体を全ての目的のために本明細書に援用される。
半導体デバイスの製造中にしばしば利用されるプロセスの1つは、基材上への酸化物及び窒化物等の様々なフィルムの蒸着である。場合によっては、基材は特に蒸着中の酸化又は窒化に感受性であり、これによって基材が損傷を被ることがある。この感受性は特にギャップ充填及びダブルパターニング等の応用例において発生しやすいが、これら以外の場合にも同様に問題となり得る。感受性基材の例としては、シリコン(Si)、コバルト(Co)、ゲルマニウム−アンチモン−テルル合金(GST)、シリコン−ゲルマニウム(SiGe)、窒化シリコン(SiN)、炭化シリコン(SiC)及び酸化シリコン(SiO2)が挙げられる。従って、感受性基材の損傷を防ぐ半導体フィルム蒸着方法の需要が存在する。いくつかの実施形態では、この方法は酸化感受性基材の酸化及び/又は窒化感受性基材の窒化を防ぐ。
ここで開示する様々な態様は、酸化感受性基材の表面の露出表面上にフィルムを蒸着する方法に属する。これらの方法は典型的には、反応物吸収及び反応の複数のサイクルによってフィルムを成長させる、表面媒介反応を含む。このようなある態様では、本方法は以下の操作を特徴とする:(a)酸化感受性基材を気相のシリコン含有反応物に曝露する;(b)酸化感受性基材を、反応チャンバのステーションにおいて気相の酸化反応物に曝露する;及び(c)シリコン含有反応物の流れが止まったら、約12.5〜125W/ステーションの高周波数の高周波を用いて、反応チャンバ内でプラズマを周期的に着火する。本方法のいくつかの実装形態では、酸化反応物は基材に連続的に流れ、シリコン含有反応物は基材に断続的に流れる。他の実装形態では、酸化反応物は反応チャンバ内へとパルスとして流れる。
いくつかの実装形態では、形成される酸化シリコンフィルムの厚さは約10〜50Åである。いくつかの実施形態では、基材を約25〜450℃に維持し、いくつかの場合では基材を約25〜100℃、約50〜150℃又は約50〜200℃に維持する。酸化反応物は、酸素(O2)と、亜酸化窒素(N2O)、一酸化炭素(CO)、二酸化炭素(CO2)、一酸化窒素(NO)、二酸化窒素(NO2)、一酸化硫黄(SO)、二酸化硫黄(SO2)、酸素含有炭化水素(Cxyz)及び/又は水(H2O)等の弱酸化剤との混合物であってよい。他の実装形態では、酸化反応物はその全体が弱酸化剤であってよい。代替として、酸化反応物はオゾン(O3)を含んでよい。いくつかの実施形態では、酸化反応物は約0〜50%のO2及び約50〜100%の弱酸化剤である。いくつかの実装形態では、高周波を更に50〜125W/ステーションに制限してよい。
いくつかの実施形態では、上述の本発明は更に、以下の一連の操作による第2の酸化シリコン材料の蒸着を特徴とする:(d)酸化感受性基材を気相の第2のシリコン含有反応物に曝露する;(e)酸化感受性基材を気相の第2の酸化反応物に曝露する;及び(f)第2のシリコン含有反応物の気相流が止まったら、約250〜1500W/ステーションの高周波数の高周波を用いて、反応チャンバ内でプラズマを周期的に着火する。いくつかの実装形態では、操作(d)〜(f)は約50〜400℃で実施され、いくつかの場合にはこれらの操作は150〜250℃又は300〜400℃に制限される。いくつかの実施形態では操作(a)〜(f)は同一の温度で実施されるが、他の実施形態では操作(a)〜(c)と操作(d)〜(f)は異なる温度で実施される。
いくつかの実装形態では、第1の酸化シリコン材料及び第2の酸化シリコン材料はそれぞれ二重層の層を形成する。第1の酸化シリコン材料を保護層と呼び、第2の酸化シリコン材料を電気的に有利な層と呼んでよい。ある実施形態では、保護層の厚さは二重層全体の厚さの約1〜約20%である。
ある実施形態では、操作(a)〜(c)及び操作(d)〜(f)は、同一の割合及び/又は組成のシリコン含有反応物の気相流を利用する。他の実施形態では、シリコン含有反応物の気相流の割合及び/又は組成は、操作の2つの組の間で異なる。具体的には、ある実装形態において、操作(d)〜(f)の間のシリコン含有反応物の気相流は、操作(a)〜(c)の間の流れに比べて高い割合のO2を含有する。いくつかの実施形態では酸化反応物の気相流は連続的であるが、他の実施形態ではこの流れは反応チャンバ内へとパルスとして流れる。
具体的に開示する操作の多くがシリコン系酸化感受性基材に関係するが、本方法は多数の異なるタイプの酸化感受性基材表面上に使用してもよい。4〜11群金属、シリコン、非晶質シリコン、炭素フィルム(例えばプラズマ強化化学蒸着又はスピンオン技術によって蒸着したフィルムを含む)及びIII〜V群の材料は、感受性基材としやすい。例えばいくつかの実装形態では、基材表面は、コバルト(Co)、ゲルマニウム−アンチモン−テルル、シリコン−ゲルマニウム、窒化シリコン、炭化シリコン、タングステン(W)、チタン(Ti)、タンタル(Ta)、クロム(Cr)、ニッケル(Ni)、パラジウム(Pd)、ルテニウム(Ru)又は酸化シリコンであってよい。本明細書に記載する本方法を、上述のものに限定されない広範な酸化感受性基材に使用できることは、当業者には理解されるであろう。
いくつかの実装形態では、酸化感受性基材の約2Å以下が酸化される。
別の態様では、酸化感受性基材の露出表面上に酸化シリコン材料を形成する方法は、以下の一連の操作を特徴とするものであってよい:(a)酸化感受性基材を気相のシリコン含有反応物に曝露する;(b)酸化感受性基材を、約25〜200℃に維持された反応チャンバのステーションにおいて気相の酸化反応物に曝露する;及び(c)シリコン含有反応物の気相流が止まったら、反応チャンバ内でプラズマを着火する。
ある実施形態では、形成される酸化シリコン材料の厚さは約10〜約50Åである。様々な実装形態によると、プラズマは約12.5〜約125W/ステーションの高周波数の高周波を用いて着火され、いくつかの実装形態では、この範囲は約50〜約125W/ステーションに制限される。
酸化反応物は、O2と、N2O、CO、CO2、NO、NO2、SO、SO2、Cxyz及び/又はH2O等の弱酸化剤との混合物であってよい。他の実装形態では、酸化反応物はその全体が弱酸化剤であってよい。代替として、酸化反応物はO3を含んでよい。いくつかの実施形態では、酸化反応物は約0〜50%のO2及び約50〜100%の弱酸化剤である。
いくつかの実施形態では、上述の本方法は更に、以下の一連の操作による第2の酸化シリコン材料の蒸着を特徴とする:(d)操作(a)〜(c)より少なくとも約50℃高い温度に維持された反応チャンバのステーションにおいて、酸化感受性基材を気相の第2のシリコン含有反応物に曝露する;(e)酸化感受性基材を第2の酸化反応物の第2の気相流に曝露する;及び(f)第2のシリコン含有反応物の気相流が止まったら、高周波数の高周波を用いて、反応チャンバ内でプラズマを着火する。
いくつかの実装形態では、ステップ(d)〜(f)は約300〜約400℃で実施される。いくつかの実施形態では、第1の酸化シリコン材料及び第2の酸化シリコン材料はそれぞれ二重層の層を形成する。第1の酸化シリコン材料を保護層と呼び、第2の酸化シリコン材料を電気的に有利な層と呼んでよい。ある実施形態では、保護層の厚さは二重層全体の厚さの約1〜約20%である。
具体的に開示する操作の多くがシリコン系酸化感受性基材に関係するが、本方法は多数の異なるタイプの酸化感受性基材表面上に使用してもよい。例えばいくつかの実装形態では、基材表面はコバルト、ゲルマニウム−アンチモン−テルル、シリコン−ゲルマニウム、窒化シリコン、炭化シリコン又は酸化シリコンであってよい。本明細書に記載する本方法を、上述のものに限定されない広範な酸化感受性基材に使用できることは、当業者には理解されるであろう。
ある実装形態では、酸化感受性基材の約2Å以下が酸化される。いくつかの実施形態では、操作(f)は約250〜1500W/ステーションのRF電力で実施される。
別の態様では、反応感受性基材上にシリコン含有二重層を形成する方法は、以下の操作を特徴とするものであってよい:(a)プラズマ強化原子層蒸着プロセスにより、シリコン含有フィルムの第1の層を形成する;及び(b)操作(a)で使用するものよりも高い高周波電力を用いて実施されるプラズマ強化原子層蒸着プロセスにより、シリコン含有フィルムの第2の層を第1の層の上に形成する。異なる態様では、反応感受性基材上にシリコン含有二重層を形成する方法は、以下の操作を特徴とするものであってよい:(a)プラズマ強化原子層蒸着プロセスにより、シリコン含有フィルムの第1の層を形成する;及び(b)操作(a)で使用するものよりも高い温度を用いて実施されるプラズマ強化原子層蒸着プロセスにより、シリコン含有フィルムの第2の層を第1の層の上に形成する。上述のように、第1の層を保護層と呼び、第2の層を電気的に有利な層と呼んでよい。
これらの方法のある実施形態では、保護層の厚さは二重層全体の厚さの約1〜約20%である。ある実装形態では、保護層の厚さは約10〜約50Åである。
酸化反応物は、O2と、N2O、CO、CO2、NO、NO2、SO、SO2、Cxyz及び/又はH2O等の弱酸化剤との混合物であってよい。他の実装形態では、酸化反応物はその全体が弱酸化剤であってよい。代替として、酸化反応物はO3を含んでよい。いくつかの実施形態では、酸化反応物は約0〜50%のO2及び約50〜100%の弱酸化剤である。
具体的に開示する操作の多くがシリコン系酸化感受性基材に関係するが、本方法は多数の異なるタイプの酸化感受性基材表面上に使用してもよい。例えばいくつかの実装形態では、基材表面はコバルト、ゲルマニウム−アンチモン−テルル、シリコン−ゲルマニウム、窒化シリコン、炭化シリコン又は酸化シリコンであってよい。本明細書に記載する本方法を、上述のものに限定されない広範な酸化感受性基材に使用できることは、当業者には理解されるであろう。いくつかの実施形態では、シリコン含有フィルムは酸化シリコン、窒化シリコン、炭化シリコン、酸窒化シリコン又は炭化シリコンフィルムである。
以上の特徴及び他の特徴を、関連する図面を参照して以下に説明する。
図1Aは、開示される特定の実施形態による、フィルムを蒸着するための例示的なタイムチャートである。 図1Bは、開示される特定の実施形態による、フィルムを蒸着するための例示的なタイムチャートである。 図1Cは、開示される特定の実施形態による、フィルムを蒸着するための例示的なタイムチャートである。 図1Dは、開示される特定の実施形態による、フィルムを蒸着するための例示的なタイムチャートである。 図1Eは、開示される特定の実施形態による、フィルムを蒸着するための例示的なタイムチャートである。
図2は、酸化による基材の損傷を示す実験データを示す。
図3Aは、高周波(RF)束の様々なレベルにおいて蒸着したフィルムに関する、フィルムの厚さ対蒸着サイクル数を示す。
図3Bは、RF束の様々なレベル蒸着中に送達されるO2:弱酸化剤の様々な比率における、基材の酸化量を示す。
図4は、降伏電圧対保護層の相対厚さに関するデータを示す。
図5は、フィルムの高密度化に関するデータを示し、この高密度化は、保護層の最小厚さを決定するために用いることができる。
図6は、開示される特定の実施形態による、原子層蒸着を実施するための反応チャンバの図である。
図7は、開示される特定の実施形態による、フィルムを蒸着するために使用できるマルチツール装置の図である。
本出願では、用語「半導体ウエハ」「ウエハ」「基材」「ウエハ基材」及び「部分的に製造された集積回路」は相互に交換可能なものとして使用される。用語「部分的に製造された集積回路」は、シリコンウエハ上での集積回路製造の多数の段階のうちのいずれかにおけるシリコンウエハを表すことができることは、当業者には明らかであろう。半導体デバイス産業において使用されるウエハ又は基材は典型的には200mm又は300mm又は450mmの直径を有する。以下の詳細な説明は、本発明をウエハ上に実装することを想定している。しかしながら、本発明はこのように限定されない。被加工物は様々な形状、サイズ及び材料のものであってよい。半導体ウエハに加えて、本発明の利点を利用できる他の被加工物には、プリント回路基板等の様々な物品が含まれる。
以下の説明では、提示する実施形態の完全な理解を提供するために、多数の具体的な詳細を挙げる。開示する実施形態は、これらの具体的な詳細の一部又は全てを用いずに実施してよい。他の例では、開示する実施形態を不必要に不明瞭にすることのないよう、公知のプロセス操作については詳細に説明しなかった。開示する実施形態は特定の実施形態と関連付けて説明するが、これは開示する実施形態を限定することを意図するものではないことを理解されたい。
ここで開示する様々な態様は、基材表面上にフィルムを蒸着する方法に属する。これらの方法は、反応物吸収及び反応の複数のサイクルによってフィルムを成長させる、プラズマ賦活表面媒介反応を含む。いくつかの実装形態では、本方法は均一フィルム蒸着(CFD)反応であり、1つ又は複数の反応物を基材表面に吸収し、その後反応させて、プラズマとの相互作用によって表面上にフィルムを形成する、CFD反応を用いる。
酸化物層を蒸着する従来の方法は、感受性基材の酸化による損傷を引き起こし得る。この損傷は、ギャップ充填及びダブルパターニングを含むがこれらに限定されない特定の応用例において問題となる。
酸化物層を蒸着する基材の酸化を防ぐCFDプロセス及び他の蒸着プロセスを提供する。また、下側の基材の窒化又はその他の反応を防ぐCFDプロセス及び他の蒸着プロセスも提供する。一般的に、これらのプロセスを用いて、感受性基材との望ましくない反応及び感受性基材の一部の別の材料への転化を低減又は排除できる。これらのプロセスは、シリコン(Si)、コバルト(Co)、ゲルマニウム−アンチモン−テルル合金(GST)、シリコン−ゲルマニウム(SiGe)、窒化シリコン(SiN)、炭化シリコン(SiC)及び酸化シリコン(SiO2)等の感受性基材、並びにSiO2、SiN、SiCN、SiC、貴金属並びにランタニド酸化物、4群金属酸化物及び5群金属酸化物を含む高K材料等のタイプのフィルムに対して特に有益であり得る。
特定の実施形態では、基材の損傷を薄い保護層の蒸着によって防ぎ、この保護層は、比較的低温及び/又は比較的低圧及び/又は比較的低いRF電力/束レベルで蒸着できる。場合によっては、保護層を二重層に組み込み、底層を保護層、表層を電気的に有利な層とする。保護層は電気的に有利な層と比べて、低温及び/又は低いRF電力及び/又は短いRF時間及び/又は低圧及び/又は異なる反応物を用いて蒸着してよい。これらの蒸着条件により、操作可能なデバイスの製造中における、下側の基材への損傷の防止を補助することができる。
更に、ここに提供する様々な実施形態は、試験用車両として汎用のシリコン基材を使用して基材の酸化を評価し、これによって、デバイス基材の酸化を試験する際の複雑さを回避するための方法に関する。
また、ここに提供する様々な実施形態は、二重層によるアプローチにおいて底部保護層の最小厚さを決定するための方法に関し、この厚さは、フィルム全体の電気的特性に対する影響を最小にしながら、基材の酸化に対して十分な保護を提供するような厚さである。
2011年4月20日に出願された、名称「プラズマ賦活均一フィルム蒸着」の米国特許出願第13/084399号(参照によりその全体を本明細書に援用する)は、基材が反応物A及びBに曝露されるプラズマ賦活CFDプロセスの様々な実施形態のためのタイムチャートを含むCFD反応について記載している。ここで説明される本方法は、こうしたCFDプロセスを含んでいる。以下の説明では主に、ビス(tert−ブチルアミノ)シラン(BTBAS)等のシリコン含有反応物と、酸素、亜酸化窒素又はこれらの混合物等の、酸化反応物としても知られる酸化剤反応物とに由来する酸化シリコンフィルムの蒸着に言及するが、本明細書に記載する本方法は窒化シリコンを含むがこれに限定されない他のタイプのフィルムのプラズマ賦活蒸着にも使用してよい。窒化シリコン及び他のタイプのフィルムの蒸着のための反応物及びプロセスフローは、上記米国特許出願第13/084399号、及び2011年4月11日に出願された、名称「窒化シリコンフィルム及び方法」の米国特許出願第13/084305号(参照によりその全体を本明細書に援用する)に記載されている。
半導体デバイスの製造は典型的には、統合製造プロセスにおいて非平面基材上に1つ又は複数の薄いフィルムを蒸着することを伴う。この統合プロセスのいくつかの態様では、基材の表面形状に適合する薄いフィルムを蒸着することが有益となり得る。例えば、持ち上げたゲートスタックの上面に窒化シリコンフィルムを蒸着して、低ドープソース及びドレイン領域を後続のイオン注入プロセスから保護するためのスペーサ層として機能させてよい。
スペーサ層蒸着プロセスでは、化学蒸着(CVD)プロセスを用いて非平面基材上に窒化シリコンフィルムを形成してよく、続いてこれに異方性エッチングを施してスペーサ構造を形成する。しかしながら、ゲートスタック間の距離が減少すると、CVDガス相反応の物質輸送限界により、「ブレッドローフィング」蒸着効果が引き起こされ得る。このような効果は典型的には、ゲートスタックの上面の厚い蒸着及びゲートスタックの底部角の薄い蒸着において発生する。更に、デバイスの密度が異なる領域を有するダイが存在し得るため、ウエハ表面にわたる物質輸送効果により、ダイ内及びウエハ内でのフィルムの厚さの変動が引き起こされ得る。これらの厚さの変動により、いくつかの領域でのオーバーエッチング及び他の領域でのアンダーエッチングが発生し得る。これにより、デバイスの性能及び/又はダイの収率が低下し得る。
これらの問題に対処するためのいくつかの方法は、原子層蒸着(ALD)を伴う。熱で賦活したガス相反応を用いてフィルムを蒸着するCVDプロセスとは対照的に、ALDプロセスは表面媒介蒸着反応を用いて、層毎にフィルムを蒸着する。ある例示的なALDプロセスでは、多数の表面活性部位を含む基材表面を、第1のフィルム前駆物質(P1)のガス相分布に曝露する。P1のいくつかの分子は、基材表面上に、P1の化学吸収種及び物理吸収分子を含む凝集相を形成し得る。続いて反応器を排気してガス相及び物理吸収P1を除去し、化学吸収種のみを残す。次に第2のフィルム前駆物質(P2)を反応器に導入し、P2のいくつかの分子を基材表面に吸収させる。反応器を再び排気して、今度は結合していないP2を除去することができる。その後、基材に供給される熱エネルギが、P1及びP2の吸収された分子間の表面反応を賦活し、フィルム層を形成する。最後に、反応器を排気して副産物並びに場合によっては未反応のP1及びP2を除去し、ALDサイクルを終了する。フィルムの厚みを形成するために、追加のALDサイクルを含んでもよい。
前駆物質ドープステップの曝露時間及び前駆物質の付着係数に応じて、各ALDサイクルによって例えば1/3〜1/2Åの厚さのフィルム層を蒸着できる。
平面基材上に均一フィルムを蒸着することもできる。例えば、交互になったタイプのフィルムからなる平面のスタックから、リソグラフィのパターニングにおける応用のための反射防止層を形成できる。このような反射防止層の厚さは約100〜1000Åであり得、これは迅速なCVDプロセスに比べて、遅いALDプロセスの魅力を損なう。しかしながら、このような反射防止層はウエハ内での厚さの変動に関して、多くのCVDプロセスが提供できる許容誤差よりも低い許容誤差を有することもできる。例えば、厚さ600Åの反射防止層は、厚さに関して3Å未満の範囲の許容誤差を有し得る。
非平面及び平面基材上でのプラズマ賦活ALD及び均一フィルム蒸着(CFD)のためのプロセス及び設備を提供する様々な実施形態をここで提供する。これらの実施形態は典型的には、比較的低温及び/又は比較的低圧及び/又は比較的低いRF電力/束レベル(即ち低損傷条件)で実施される。場合によっては、二重層によるアプローチを用いて、底部保護層を低損傷条件で形成し、上部電気的に有利な層を異なる条件で形成する。
上に示したように、本明細書に記載する実施形態はCFDプロセス及びALDプロセスを含むことができる。一般にCFDプロセスプロセスは、フィルムを形成する反応の前の1つ又は複数の反応物の完全なパージを必要としない。例えば、プラズマ(又はその他の賦活エネルギ)を投入する際に1つ又は複数の反応物が気相で存在してよい。従って、ALDプロセスで説明するプロセスステップのうち1つ又は複数は、例示的なCFDプロセスでは短縮又は削除してよい。更に、いくつかの実施形態では、蒸着反応のプラズマ賦活により、蒸着温度を熱賦活反応よりも低くすることができ、一体プロセスの熱収支を潜在的に低減する。実施形態はCFDを含むが、本明細書に記載する本方法はCFDに限定されない。他の適切な方法として、ALDが挙げられる。
文脈上、CFDに関して簡単に説明する。CFD「サイクル」という概念は、様々な実施形態に関するここでの議論に関係する。一般にサイクルは、表面蒸着反応を1回実施するために必要な最小の組の操作を意味する。1サイクルの結果、基材表面上に少なくとも部分的なフィルム層が生成される。典型的にはCFDサイクルは、基材表面に各反応物を送達して吸収させ、その後これら吸収された反応物を反応させてフィルムの部分層を形成するために必要なステップのみを含む。当然のことであるが、サイクルは、反応物若しくは副産物のうちの1つ若しくは複数を排出するステップ及び/又は蒸着されたままの状態の部分フィルムを処理するステップ等、特定の補助的なステップを含んでよい。サイクルは一般に、操作の一意の順序を1例のみ含む。例として、サイクルは以下の操作を含んでよい:(i)反応物Aの送達/吸収;(ii)反応物Bの送達/吸収;(iii)反応物Bを反応チャンバの外へと排出する;及び(iv)プラズマを適用してAとBとの表面反応を駆動し、表面上に部分的なフィルム層を形成する。
ここでの説明には、「主」及び「副」反応物という用語を使用する。主反応物は室温で固体である要素を含み、この要素はCFDで形成されるフィルムを形成する。このような要素の例は、金属(例えばアルミニウム、チタン等)、半導体(例えばシリコン及びゲルマニウム)及び非金属又は半金属(例えばホウ素)である。副反応物は主反応物でないいずれの反応物である。副反応物を指して用語「共反応物」を使用することがある。副反応物の例としては、酸素、オゾン、水素、炭素、一酸化物、亜酸化窒素、アンモニア、アルキルアミン等が挙げられる。
本明細書に記載する実施形態では、様々な異なるプロセスの順序を使用してよい。1つの可能なプロセスは、以下の操作順序を含む:(1)副反応物を連続的に流す;(2)1用量のシリコン含有反応物又は他の主反応物を提供する;(3)1をパージする;(4)基材をRFプラズマに曝露する;(5)2をパージする。別の代替プロセスは、以下の操作順序を含む:(1)不活性ガスを連続的に流す;(2)1用量のシリコン含有反応物又は他の主反応物を提供する;(3)1をパージする;(4)1用量の酸化剤又はその他の副反応物を提供しながら、基材をRFプラズマに曝露する;(5)2をパージする。他の例示的なプロセスフローを図1A〜1Eに示す。
ここに示す化合物、流量及び注入時間は例である。酸化シリコンの蒸着のために、適切ないずれのシリコン含有反応物及び酸化剤を用いてもよい。同様に、窒化シリコンの蒸着のために、適切ないずれのシリコン含有反応物及び窒素含有反応物を用いてもよい。更に、酸化金属又は窒化金属の蒸着のために、適切ないずれの金属含有反応物及び共反応物を用いてもよい。本技術は、幅広い化学的性質のフィルムを実装するにあたって有益である。上に挙げた範囲の外の流量及び時間も、特定の実施形態には適切であり得る。例示的な流量は300mmのウエハに関するものであり、他のサイズのウエハに関しては適宜調整してよい。他のプロセスフローも使用でき、そのうちの幾つかを図1A及び1Bに示すタイムチャートを参照して以下に説明する。
場合によっては、反応物のうちの1つを連続的に(例えば他の反応物の送達中及び/又はプラズマ曝露中でさえ)送達してよい。連続的に流れる反応物を、例えばアルゴン等のキャリアガスと併せて反応チャンバに送達してよい。場合によっては、連続的に流れる反応物の反応チャンバへの送達は、方向切替弁/流入弁のトグリングを用いて制御できる。気体流の変更は、方向を変えるか又は並行流としてよい。ある例では、連続的に流れる反応物は、特定の期間のみ反応チャンバに送達されるよう、反応チャンバから周期的に方向を切り替えてよい。連続的に流れるガスは、適切なバルブを用いて流出口/集積場へと方向を切り替えてよい。例えば、酸化反応物は連続的に流れてよいが、反応チャンバには周期的にのみ送達される。酸化反応物が反応チャンバに送達されない場合、酸化反応物は流出口、再利用システム等へと方向を切り替えてよい。
連続した流れの実施形態の1つの利点は、確立された流れによって、流れのオン及びオフの転換に関連する瞬間的な初期化及び安定化によって発生する遅延及び流れの変動が回避されることである。
具体的な実施例として、主反応物(「固体成分」前駆物質、又はこの例では単に「反応物B」と呼ぶ場合もある)を用いて、酸化物フィルムを均一フィルム蒸着プロセスによって蒸着してよい。ビス(tert−ブチルアミノ)シラン(BTBAS)は、このような主反応物の1つである。この実施例では、酸化物蒸着プロセスは、酸素又は亜酸化窒素等の酸化剤の送達を伴い、酸化剤はそれぞれの曝露段階において、主反応物の送達中に最初から及び連続的に流れる。酸化剤はまた、それぞれのプラズマ曝露段階中も流れ続ける。例えば図1Aに示す順序を参照のこと。
いくつかの具体的な実施例では、連続的に流れる反応物は副反応物である。連続的に流れる反応物は、一定の流量又は変動するが制御された流量で供給してよい。後者の場合、例として、副反応物の流量は主反応物が送達される際の曝露段階中は低下し得る。例えば、酸化物蒸着において、酸化剤(例えば酸素又は亜酸化窒素)は全蒸着シーケンス中連続的に流れてよいが、その流量は主反応物(例えばBTBAS)が送達される際に低下し得る。これにより、BTBASの分圧はその注入中に増大し、これによって基材表面を飽和させるために必要な曝露時間が短くなる。プラズマを着火する直前に、酸化剤の流れを増大させて、プラズマ曝露段階中にBTBASが存在する可能性を低減させてよい。いくつかの実施形態では、連続的に流れる反応物は、2回以上の蒸着サイクルの過程全体にわたって、変動する流量で流れる。例えば反応物は、第1のCFDサイクル中は第1の流量で流れ、第2のCFDサイクル中は第2の流量で流れてよい。様々な実施形態では、反応サイクルの第1のセットを特定の蒸着条件下で実施し、その後反応サイクルの第2のセットを異なる条件下で実施して、第1のフィルム上に第2のフィルムを蒸着する。これら2つのフィルムは異なる特性を有してよく;例えば第2のフィルムは、所望の応用例に関してより電気的に有利な特性を有する。
複数の副反応物を用いる場合、反応チャンバへの送達の前にこれらを混合するか、又は別個の流れとして送達できる。いくつかの実施形態では、副反応物を、パージ操作のためのバーナーに送達される不活性ガス流と共に連続的に送達する。いくつかの実施形態では、不活性ガス流は、パージ操作のために不活性ガス流量を増大させて又は増大させることなく、連続的であってよい。プラズマが消えた後に更なるパージが発生し得る。
CFD「排出」又は「パージ」ステップ又は段階という概念について、ここで様々な実施形態において議論する。一般に排出段階は、反応チャンバから気相反応物の1つを除去又はパージし、典型的にはこれは当該反応物の送達が完了した直後に起こる。言い換えると、排出段階中には反応物はもはや反応チャンバに送達されない。しかしながら、排出段階中、反応物は基材表面に吸収されたままである。典型的には、この排出は、反応物が基材表面に所望のレベルまで吸収された後に、チャンバ内のいずれの残留気相反応物も除去する役割を果たす。排出段階はまた、吸収が弱い種(例えば、特定の前駆物質リガンド又は反応副産物)を基材表面から除去してもよい。ALDにおいて、排出段階は、2つの反応物のガス相相互作用、又は1つの反応物と、熱、プラズマ、若しくは表面反応のための他の駆動力との相互作用を防ぐために必要なものと考えられてきた。一般に、及びそうでなければここに明示するように、排出/パージ段階は(i)反応チャンバを排気する、及び/又は(ii)排出される種を含まないガスを、反応チャンバを通して流すことで達成できる。(ii)の場合、このようなガスは例えば不活性ガス、又は連続的に流れる副反応物等の副反応物であってよい。
様々な実施形態は、異なる時点に排出段階を実装してよい。例えば特定の場合には、排出ステップは以下のいずれの時点に発生してもよい:(1)主反応物の送達後;(2)主反応物を送達するパルスの間;(3)副反応物の送達後;(4)プラズマ曝露の前;(5)プラズマ曝露の後;及び(6)(1)〜(5)のいずれの組合せ。これらの時間フレームのうちのいくつかは重複し得る。主反応物の送達後に実施される第1の排出、及びプラズマ励起後に実施される第2の排出は、均一なフィルムを蒸着するにあたって特に有益であることが分かった。
他の多数の蒸着プロセス、特にこれらが必要とする熱賦活とは違って、CFDプロセスは比較的低温で実施できる。一般にCFD温度は約20〜400℃となる。この温度を選択して、フォトレジストコア上への蒸着等の温度感受性プロセスでの蒸着を可能とすることができる。特定の実施形態では、約20〜100℃の温度を用いてダブルパターニングの応用を行う(例えばフォトレジストコアを使用)。別の実施形態では、約200〜350℃の温度を用いてメモリ製造処理を行う。いくつかの実装形態では、反応サイクルの第1のセットを第1の温度で実施し、反応サイクルの第2のセットを第1の温度より高い第2の温度で実施する。
上で提案したように、CFDは先進技術のノードにおいてフィルムを蒸着するのによく適している。よって例えば、CFD処理を32nmノード、22nmノード、16nmノード、11nmノード、及びこれらのいずれでもないノードにおけるプロセスに適用できる。これらのノードについては、長年に渡るマイクロエレクトロニクス技術の要件に関する産業上の同意である、国際半導体技術ロードマップ(ITRS)に記載されている。これは一般にハーフピッチのメモリセルを基準とする。具体的な実施例では、CFD処理は「2X」デバイス(20〜29nmの範囲のデバイス特徴部分を有する)及びそれ以上のものに適用される。
ここで提示するCFDフィルムの大半の例は、シリコン系マイクロエレクトロニクスデバイスに関するものであるが、このフィルムを他の領域において応用することもできる。GaAs及び他のIII−V半導体等の非シリコン半導体、並びにHgCdTe等のII−VI材料を用いるマイクロエレクトロニクス又はオプトエレクトロニクスは、ここに開示するCFDプロセスを用いることにより利益を得ることができる。光電池デバイス等の太陽エネルギの分野、電気着色の分野及びその他の分野における均一絶縁フィルムの応用も可能である。
CFDフィルムの他の例示的応用は、ラインの後端の相互接続分離のための応用における均一低kフィルム(例えばいくつかの比限定的な例では約3.0以下のk)、エッチング停止及びスペーサ層への応用のための均一窒化シリコンフィルム、均一反射防止層、並びに銅付着及び防護層を含むがこれらに限定されない。BEOL処理のための低k絶縁体の多くの異なる組成を、CFDを用いて製造できる。例としては酸化シリコン、酸素ドープカーバイド、炭素ドープ酸化物、酸窒化物等が挙げられる。
図1Aは、プラズマ賦活CFDプロセスの例示的実施形態のためのタイムチャート100を概略的に示す。2回の完全なCFDサイクルを示す。図示したように、各CFDサイクルサイクルは、反応物Aへの曝露段階120、その直後に続く反応物Bへの曝露段階140、反応物Bの排出段階160、及び最後にプラズマ賦活段階180を含む。プラズマ賦活段階180A及び180Bのプロセス中にもたらされるプラズマエネルギは、表面吸収反応物種AとBとの間の反応を賦活する。図示した実施形態では、一方の反応物(反応物A)が送達された後には排出段階は実施されない。実際、この反応物はフィルム蒸着プロセス中は連続的に流れる。よって、反応物Aがガス相であるときにプラズマが着火される。図示した実施形態では、反応物ガスA及びBは反応しないままガス相で共存してよい。従って、ALDプロセスにおいて記載したプロセスステップのうちの1つ又は複数を、この例示的なCFDプロセスでは短縮又は削除してよい。例えばA曝露段階120A及び120Bの後の排出ステップは削除してよい。
図1Aはまた、様々なCFDプロセスパラメータに関する、例示的なCFDプロセス段階の時間経過も示す。図1Aは2つの例示的な蒸着サイクル110A及び110Bを示すが、所望の厚さのフィルムを蒸着するために、CFDプロセスにはいずれの適切な回数の蒸着サイクルを含んでもよいことを理解されたい。例示的CFDプロセスパラメータは、不活性種及び反応物種の流量、プラズマ電力及びプラズマ周波数、基材温度、並びにプロセスステーション圧力を含むがこれらに限定されない。
CFDサイクルは典型的には、各反応物に対する曝露段階を含む。この「曝露段階」の間、反応物はプロセスチャンバに送達され、基材表面上への反応物の吸収が起こる。典型的には、曝露段階の開始時において、基材表面は認識可能な反応物の吸収量を全く有さない。図1Aでは、反応物A曝露段階120A及びBにおいて、反応物Aは制御された流量でプロセスステーションに供給され、基材の曝露表面を飽和させる。反応物Aはいずれの適切な蒸着反応物であってもよく;例えば主反応物又は副反応物であってよい。CFDが二酸化シリコンフィルムを生成する実施例では、反応物Aは酸素であってよい。
図1Aに示す実施形態では、反応物Aは蒸着サイクル110A及び110B全体を通して連続的に流れる。ガス相の反応を防ぐためにフィルム前駆物質の曝露が分離されている典型的なALDプロセスとは異なり、反応物A及びBは、CFDプロセスのいくつかの実施形態のガス相において混合できる。上で示したように、いくつかの実施形態では反応物A及びBを、プラズマエネルギの印加又は表面反応の賦活の前に、反応器内にある条件下で互いに明らかな反応を起こさないままガス相で共存できるよう、選択する。場合によっては、反応物は(1)これらの間の反応が熱力学的に有利(即ちギブズ自由エネルギ<0)であるよう、及び(2)反応が、所望の蒸着温度においてプラズマ励起がなければ無視できる反応しか起こらないような十分に高い賦活エネルギを有するよう、選択される。
プロセスステーションに反応物Aを連続的に供給することにより、初めに反応物Aの供給を開始し、続いて安定化させて基材を曝露し、その後供給を止め、最後に反応器から除去するALDプロセスに比べて、反応物Aの流量の供給開始及び安定化時間を削減又は削除できる。図1Aに示す実施形態は、一定の流量を有するものとして反応物A曝露段階120A及びBを示しているが、本開示の範囲内において、可変流を含むいずれの適切な反応物Aの流れも使用してよいことを理解されたい。更に、図1Aは全CFDサイクル(蒸着サイクル110A)中に一定の流量を有する反応物Aを示すが、これは必ずしもそうでなくてよい。例えば、反応物Aの流量はB曝露段階140A及び140Gの間に減少してよい。これにより、Bの分圧が増大し、従って反応物Bを基材表面に吸収する駆動力も増大し得る。他の場合には、反応物A及びBはそれぞれ1用量ずつ送達してよい(即ちいずれの反応物も連続的に流れない)。
いくつかの実施形態では、反応物A曝露段階120Aは、反応物Aに関する基材表面飽和時間を超える長さを有する。例えば、図1Aの実施形態は反応物A曝露段階120Aに、飽和後曝露時間130を含む。任意に、反応物A曝露段階120Aは不活性ガスの制御された流量を含む。例示的な不活性ガスは、窒素、アルゴン及びヘリウムを含むがこれらに限定されない。不活性ガスは、プロセスステーションの圧力並びに/又は温度制御、液状前駆物質の蒸発、前駆物質並びに/又はプロセスガスをプロセスステーション及び/若しくはプロセスステーション配管から除去するための排出ガスのより迅速な送達を補助するために提供してよい。
図1Aに示す実施形態の反応物B曝露段階140Aにおいて、反応物Bは制御された流量でプロセスステーションに供給され、曝露された基材表面を飽和させる。ある例示的な二酸化シリコンフィルムでは、反応物BはBTBASであってよい。図1Aの実施形態は、一定の流量を有するものとして反応物B曝露段階140Aを示しているが、本開示の範囲内において、可変流を含むいずれの適切な反応物Bの流れも使用してよいことを理解されたい。更に、反応物B曝露段階140Aはいずれの適切な長さも有してよいことを理解されたい。いくつかの実施形態では、反応物B曝露段階140Aは、反応物Bに関する基材表面飽和時間を超える長さを有してよい。例えば、図1Aに示す実施形態は、反応物B曝露段階140Aに、飽和後曝露時間150を含む。任意に、反応物B曝露段階140Aは適切な不活性ガスの制御された流れを含んでよく、この不活性ガスは上述のように、プロセスステーションの圧力並びに/又は温度制御、液状前駆物質の蒸発、前駆物質のより迅速な送達を補助でき、プロセスステーションガスの逆拡散を防げる。
図1Aに示すCFDプロセスの実施形態ではプラズマで賦活されるが、本開示の範囲内において、他の非熱エネルギ源を用いてよい。非熱エネルギ源の非限定的な例は、紫外線ランプ、下流又は遠隔プラズマ源、容量結合プラズマ、誘導結合プラズマ及びマイクロ波表面波プラズマを含むがこれらに限定されない。
いくつかのシナリオでは、表面吸収B種は基材表面上に不連続な島として存在してよく、これによって反応物Bの表面飽和を達成するのが困難になる。様々な表面条件により、基材表面上での反応物Bの核生成及び飽和が遅延され得る。例えば、反応物A及び/又はBの吸収によって放出されるリガンドはいくつかの表面活性部位をブロックして、反応物Bの更なる吸収を防ぐことができる。従っていくつかの実施形態では、反応物Bの連続的な吸収層は、反応物Bの流れ及び/又はプロセスステーションへの別個のパルス状の反応物Bを、反応物B曝露段階140A中に変調することにより提供できる。これにより、一定の流れのシナリオと比較して、反応物Bの消費を抑えながら表面吸収及び脱離プロセスのための余剰の時間を提供できる。
更に又は代わりに、いくつかの実施形態では、反応物Bの連続的な曝露の間に、1回又は複数回の排出段階を含んでよい。例えば図1Bの実施形態は、蒸着サイクル210のための例示的なCFDプロセスのタイムチャート200を概略的に示す。反応物B曝露段階240Aにおいて、反応物Bに基材表面を曝露する。続いて排出段階260Aにおいて、反応物Bの供給を止め、反応物Bのガス相種をプロセスステーションから除去する。あるシナリオでは、ガス相の反応物Bを反応物A及び/又は不活性ガスの連続的な流れで置換してよい。別のシナリオでは、プロセスステーションを排気することによってガス相の反応物Bを除去してよい。ガス相の反応物Bの除去により、吸収/脱離プロセスの平衡をシフトしてリガンドを脱離させ、吸収されたBの表面再構成を促進して吸収されたBの不連続な島を統合できる。反応物B曝露段階240Bにおいて、反応物Bに基材表面を再び曝露する。図1Bに示す実施形態は1回の反応物B排出及び曝露サイクルを含むが、本開示の範囲内において、いずれの適切な回数においても交互の排出及び曝露サイクルの繰り返しを使用できることを理解されたい。
ここで図1Aの実施形態に戻ると、180Aにおいてプラズマによって賦活する前に、いくつかの実施形態では、排出段階160Aにおいてガス相の反応物Bをプロセスステーションから除去してよい。CFDサイクルは上述の曝露段階に加えて、1回又は複数回の排出段階を含んでよい。プロセスステーションを排出させることにより、反応物Bがプラズマ賦活の影響を受けやすいガス相反応を回避できる。更に、プロセスステーションを排出させることにより、残留してフィルムを汚染し得る表面吸収リガンドを除去できる。例示的な排出ガスは、アルゴン、ヘリウム及び窒素を含むがこれらに限定されない。図1Aに示す実施形態では、排出段階160Aのための排出ガスは不活性ガス流により供給される。いくつかの実施形態では、排出段階160Aはプロセスステーションを廃棄するための1回又は複数の排気サブ段階を含んでよい。代替として、いくつかの実施形態では、排出段階160Aを省略してもよいことを理解されたい。
排出段階160Aはいずれの適切な長さを有してよい。いくつかの実施形態では、1つ又は複数の排出ガスの流量を増加することにより、排出段階160Aの長さを短縮できる。例えば、排出段階160Aの長さを変更するために、排出ガスの流量を、反応物の様々な熱力学的特性並びに/又はプロセスステーション及び/若しくはプロセスステーションの配管の幾何学的特性に応じて調整してよい。ある非限定的な例では、排出段階の長さは排出ガス流量の調整によって最適化してよい。これにより蒸着サイクルの時間を短縮でき、基材のスループットを改善できる。
CFDサイクルは典型的には、上述の曝露段階及び任意の排出段階に加えて「賦活段階」を含む。賦活段階は、基材表面に吸収された1つ又は複数の反応物の反応を駆動する役割を果たす。図1Aに示す実施例のプラズマ賦活段階180Aにおいて、プラズマエネルギを供給して、表面吸収反応物AとBとの間の表面反応を賦活する。例えば、プラズマは反応物Aのガス相分子を直接的又は間接的に賦活して、反応物Aのラジカルを形成できる。続いて、これらのラジカルは表面吸収反応物Bと相互反応でき、これによってフィルム形成表面反応が起こる。プラズマ賦活段階180Aによって蒸着サイクル110Aは終了し、図1Aの実施形態ではその後に蒸着サイクル110Bが続き、これは反応物A曝露段階120Bから始まる。
いくつかの実施形態では、プラズマ賦活段階180Aで着火されたプラズマは、基材表面の上に直接形成してよい。これにより、より大きなプラズマ密度及び反応物AとBとの間の増進された表面反応速度を提供できる。例えば、CFDプロセスのためのプラズマは、2つの容量結合プレートを用いて高周波(RF)場を低圧ガスに適用することによって生成できる。代替実施形態では、遠隔生成プラズマを主反応チャンバの外で生成してよい。
いずれの適切なガスを用いてプラズマを形成してよい。第1の実施例では、アルゴン又はヘリウムなどの不活性ガスを用いてプラズマを形成してよい。第2の実施例では、酸素又はアンモニア等の反応ガスを用いてプラズマを形成してよい。第3の実施例では、窒素などの排出ガスを用いてプラズマを形成してよい。当然のことであるが、ガスのこれらのカテゴリの組合せを使用してもよい。RF場によるプレート間のガスのイオン化によってプラズマが着火され、プラズマ放出領域において自由電子が生成される。これらの電子はRF場によって加速され、気相の反応物分子と衝突し得る。これらの電子と反応物分子との衝突により、蒸着プロセスに関係するラジカル種を形成できる。RF場をいずれの適切な電極を介して連結してよいことを理解されたい。電極の非限定的な例は、プロセスガス分散シャワーヘッド及び基材架台を含む。CFDプロセスのためのプラズマを、ガスへのRF場の容量結合以外の1つ又は複数の適切な方法で形成してよいことを理解されたい。
プラズマ賦活段階180Aは、いずれの適切な長さを有してよい。いくつかの実施形態では、プラズマ賦活段階180Aは、プラズマ賦活ラジカルが曝露された基材表面及び吸着質全てと相互作用して、基材表面上に連続的なフィルムを形成するための時間を超える長さを有してよい。例えば、図1に示す実施形態は、プラズマ賦活段階180Aにおいて、プラズマへの飽和後曝露時間190を含む。
あるシナリオでは、CFDプロセスにより、均一な二酸化シリコンフィルムを非平面基材上に蒸着してよい。例えば、CFD二酸化シリコンフィルムを、トレンチ分離(STI)構造の溝の充填等、構造のギャップ充填のために使用してよい。以下に説明する様々な実施形態はギャップ充填への応用例に関するものであるが、これは非限定的な例示的応用例であること、及び他の適切なフィルム材料を利用した他の適切な応用例も本開示の範囲内であり得ることを理解されたい。CFD二酸化シリコンフィルムの他の応用例は、層間絶縁(ILD)への応用、金属間絶縁(IMD)への応用、プリメタル絶縁(PMD)への応用、Si貫通電極(TSV)用の絶縁ライナへの応用、抵抗性RAM(ReRAM)への応用、及び/又はDRAMにおける積層型コンデンサの製造への応用を含むがこれらに限定されない。
ドープされた酸化シリコンを、ホウ素、リン又は更にヒ素ドーパントのための拡散源として使用してよい。例えばホウ素ドープシリケートガラス(BSG)、リンドープシリケートガラス(PSG)、又は更にホウ素リンドープシリケートガラス(BPSG)を使用できる。ドープされたCFD層は、例えばマルチゲートFinFET等の3次元トランジスタ構造及び3次元メモリデバイスにおける均一なドーピングを提供するために使用できる。従来のイオン注入装置は、特に高アスペクト比構造において、側壁をドープすることが容易でない。
拡散源としてのCFDでドープされた酸化物は、様々な利点を有する。まず、これらは低温で高い等角性を提供する。これと比較すると、低圧CVDで製造されるドープされたTEOS(テトラエチルオルトシリケート)が知られているが、これは高温で蒸着する必要があり、低大気圧CVD及びPECVDでドープされた酸化物フィルムはより低温でも使用可能であるが、十分な等角性を有さない。ドーピングの等角性は重要であるが、フィルムは典型的には犠牲的に使用され、その後除去する必要があるため、フィルム自体の等角性も重要である。非均一なフィルムは典型的には除去がより困難であり、即ちオーバーエッチングされる領域が発生し得る。
更に、CFDは極めて良好に制御されたドーピング濃度を提供する。上述のように、CFDプロセスはドープしていない酸化物のいくつかの層及び単一のドーピング層からなることができる。ドーピングのレベルは、ドープされた層を蒸着する頻度及びドーピングサイクルの条件によって厳しく制御できる。特定の実施形態では、ドーピングサイクルは例えば、有意な立体障害を有するドーパント源を用いて制御される。従来のシリコン系マイクロエレクトロニクスに加えて、CFDドーピングの他の応用例は、GaAs等のIII−V半導体及びHgCdTe等のII−VI半導体をベースとするマイクロエレクトロニクス及びオプトエレクトロニクス、光電池、フラットパネルディスプレイ及び電気着色技術を含む。
いくつかの実施形態では、プラズマ発生器を制御して、プラズマ賦活段階中にプラズマエネルギの断続的なパルスを提供できる。例えば、プラズマを10Hz〜500Hzの周波数を含むがこれに限定されない1つ又は複数の周波数でパルス化してよい。これにより、連続プラズマと比べてイオン衝撃の有向性を減少させることによって、段差被覆性を増進できる。更に、これによりイオン衝撃による基材の損傷を低減できる。例えばフォトレジスト基材は、連続プラズマ中のイオン衝撃によって侵食され得る。プラズマエネルギをパルス化することにより、フォトレジストの浸食を低減できる。
プラズマ環境に反応物Bと反応物Aが共存する場合、PECVDタイプ及びCFDタイプ反応が同時発生し得る。いくつかの実施形態では、プラズマ環境における反応物の共存の結果、反応物Bの供給を停止した後にプロセスステーションに反応物Bが消えずに残り、基材への反応物Bの曝露が持続し得る。例えば図1Cは、プロセスステーションへの反応物Bの供給の停止とプラズマ賦活との間の正の排出時間を有する排出段階を含むCFDプロセスの実施形態のための、タイムチャート2900を示す。別の例として、図1Dは、反応物Bの供給の停止とプラズマ賦活との間の排出段階を含まない(例えば排出時間=0を有する)CFDプロセスの実施形態のための、タイムチャート3000を示す。
いくつかの実施形態では、プラズマ環境における反応物の共存の結果、プロセスステーションへの反応物の供給及びプラズマ賦活が同時発生し得る。例えば図1Eは、反応物Bの供給とプラズマ賦活との間に重複を有する(「負の」排出時間で表される)CFDプロセスの実施形態のための、タイムチャート3100を示す。
上述の様々なCFD蒸着プロセスは、単一のタイプのフィルムの蒸着、処理及び/又はエッチングを対象としているが、本開示の範囲内のいくつかのCFDプロセスは、複数のタイプのフィルムの原位置での蒸着を含んでよいことを理解されたい。例えば、フィルムタイプが交互になった層を同所に蒸着してよい。第1のシナリオでは、窒化シリコン/酸化シリコンスペーサの積層体を同所に蒸着することにより、ゲートデバイスのための二重スペーサを製造できる。これにより、サイクル時間を短縮してプロセスステーションのスループットを向上でき、また潜在的なフィルム層の不適合性によって形成される層間の欠陥を回避できる。第2のシナリオでは、リソグラフィのパターニングにおける応用のための反射防止層を、SiON又は非晶質シリコン及びSiOCの調整可能な光学特性を有する積層体として蒸着できる。別のシナリオでは、保護フィルム層をまず感受性基材上に(例えば本明細書に記載する低損傷条件で)蒸着し、次に電気的に有利なフィルム層を保護フィルム層の上に蒸着する。この二重層によるアプローチを用いて、感受性基材上での酸化、窒化又は他の反応を防ぐことができる。
開示した実施形態を実施するにあたり、多くの異なる反応物を用いてよい。蒸着したフィルムがシリコンを含む場合、シリコン化合物は例えばシラン、ハロシラン又はアミノシランとすることができる。シランは水素及び/又は炭素基を含むが、ハロゲンを含まない。シランの例としては、シラン(SiH4)、ジシラン(Si26)、及びメチルシラン、エチルシラン、イソプロピルシラン、t-ブチルシラン、ジメチルシラン、ジエチルシラン、ジ-t-ブチルシラン、アリルシラン、sec-ブチルシラン、テキシルシラン、イソアミルシラン、t-ブチルジシラン、ジ-t-ブチルジシラン等のオルガノシランが挙げられる。ハロシランは少なくとも1つのハロゲン基を含有し、水素及び/又は炭素基を含んでも含まなくてもよい。ハロシランの例としては、ヨードシラン、ブロモシラン、クロロシラン及びフルオロシランが挙げられる。本明細書に記載する特定の実施形態では、ハロシラン、特にフルオロシランは、シリコン材料をエッチングすることができる反応性ハロゲン化物種を形成できるが、プラズマを投入する際、シリコン含有反応物は存在しない。具体的なクロロシランとしては、テトラクロロシラン(SiCl4)、トリクロロシラン(HSiCl3)、ジクロロシラン(H2SiCl2)、モノクロロシラン(ClSiH3)、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t-ブチルクロロシラン、ジ-t-ブチルクロロシラン、クロロイソプロピルシラン、クロロ−sec−ブチルシラン、t-ブチルジメチルクロロシラン、テキシルジメチルクロロシラン等が挙げられる。アミノシランはシリコン原子に結合した少なくとも1つの窒素原子を含むが、水素、酸素、ハロゲン及び炭素を含んでもよい。アミノシランの例としては、モノ-、ジ-、トリ-及びテトラ-アミノシラン(それぞれH3Si(NH24 、H2Si(NH22、HSi(NH23及びSi(NH24)、並びにモノ-、ジ-、トリ-及びテトラ-アミノシラン、例えばt-ブチルアミノシラン、 メチルアミノシラン、tert-ブチルシランアミン、ビス(三級ブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS)、tert-ブチルシリルカルバメート、SiH(CH3)-(N(CH322、SiHCl-(N(CH322、(Si(CH32NH)3、ビスジエチルアミノシラン(BDEAS)、ジイソプロピルアミノシラン(DIPAS)、トリジメチルアミノチタン(TDMAT)等が挙げられる。アミノシランの更なる例は、トリシリルアミン(N(SiH33)である。
他の場合では、蒸着したフィルムは金属を含む。形成され得る金属含有フィルムの例としては、アルミニウム、チタン、ハフニウム、タンタル、タングステン、マンガン、マグネシウム、ストロンチウム等の酸化物及び窒化物、並びに元素金属膜が挙げられる。例示的な前駆物質としては、金属アルキルアミン、金属アルコキシド、金属アルキルアミド、金属ハロゲン化物、金属β-ジケトネート、金属カルボニル、有機金属化合物等を挙げることができる。適切な金属含有前駆物質は、フィルムに組み込むのに望ましい金属を含むことになる。例えば、タンタル含有層は、ペンタキス(ジメチルアミノ)タンタルをアンモニア又は別の還元剤と反応させることにより蒸着できる。使用できる金属含有前駆物質の更なる例としては、トリメチルアルミニウム、テトラエトキシチタン、テトラキス−ジメチル−アミドチタン、ハフニウムテトラキス(エチルメチルアミド)、ビス(シクロペンタジエニル)マンガン、及びビス(n-プロピルシクロペンタジエニル)マグネシウムが挙げられる。
いくつかの実施形態では、蒸着したフィルムは窒素を含み、窒素含有反応物を用いなければならない。窒素含有反応物は少なくとも1つの窒素を含み、例えばアンモニア;ヒドラジン;メチルアミン、ジメチルアミン、エチルアミン、イソプロピルアミン、t-ブチルアミン、ジ-t-ブチルアミン、シクロプロピルアミン、sec-ブチルアミン、シクロブチルアミン、イソアミルアミン、2-メチルブタン-2-アミン、トリメチルアミン、ジイソプロピルアミン、ジエチルイソプロピルアミン、ジ-t-ブチルヒドラジン、並びにアニリン、ピリジン、及びベンジルアミン等の芳香族含有アミン等のアミン類(例えば、炭素担持アミン類)である。アミン類は一級、二級、三級又は四級アミン(例えばテトラアルキルアンモニウム化合物)であってよい。窒素含有反応物は、窒素以外のヘテロ原子を含むことができ、例えばヒドロキシルアミン、t-ブチルオキシカルボニルアミン及びN-t-ブチルヒドロキシルアミンは、窒素含有反応物である。
特定の実装形態では、酸素含有酸化反応物を使用する。酸素含有酸化反応物の例としては、酸素、オゾン、亜酸化窒素、一酸化窒素、二酸化窒素、一酸化炭素、二酸化炭素、一酸化硫黄、二酸化硫黄、酸素含有炭化水素(Cxyz)、水(H2O)、これらの混合物等が挙げられる。
いくつかの実施形態では、プラズマが消えた後、後プラズマパージを行う場合は前、間、又は後に、(例えば目標値を0とした)約1Torr未満までの任意のポンプダウンを行ってよい。
上述のように、酸化物を蒸着する従来の方法は、下側にある基材の損傷を招き得る。図2は、CFD方法によってT=400℃、RF電力=625W/ステーションでN2/O2酸化剤を使用して酸化シリコン層を蒸着する際に発生するような、下側にある基材の酸化に関連する損傷の存在を示す。フィルム厚さ(CFDOx厚さ)とCFDサイクルの回数との線形な対応を用いて、y切片は、基材表面上の自然酸化物の厚さに関する情報を提供する。y切片が0である場合、これは、蒸着中に下側にある基材の酸化が発生しなかったことを示す。しかしながら図2に示すように、本方法は約0.6nm即ち6Åの自然酸化厚さをもたらす。
感受性基材上の酸化量に影響する複数の重要な因子が存在する。このような因子としては、蒸着プロセス中の基材の温度、プラズマの着火に用いる電力、酸化反応物の選択、チャンバ圧力、及び蒸着プロセス中にプラズマ電力が印加される時間の長さが挙げられる。一般に、基材の温度が低いと、基材の酸化は弱くなる。様々な場合において、基材を約25℃〜450℃に維持することにより保護層を形成できる。いくつかの実施形態では、基材を約25℃〜200℃、例えば約50℃〜150℃に維持することにより保護層を形成できる。しかしながら、特定の実施形態では、プラズマ電力などの他の条件を調整する場合、450℃又はそれ以上の温度を用いてよい。
基材の酸化レベルに影響を与える別の重要な因子は、CFDプロセス中のプラズマの着火に用いる電力である。電力が低いと、基材の酸化も減少する。図3Aは、酸化剤としてN2Oを使用した、2.5TorrでのCFD蒸着における、基材の酸化の厚さに対するRF電力の影響を示す。ここで比較するRF値は500W(菱型で示す)、350W(正方形で示す)、250W(三角形で示す)、及び3×RF時間での250W(×で示す)である。図3Aでは図2と同様、y切片は基材酸化量を示す。よって、RF電力が高いほど大きなy切片を有し、これに対応して高い基材酸化レベルを有することがわかる。以下の表1と同様、図3A及び3B(以下で議論する)では、報告されたRFレベルは使用された全RF電力を表す。この全電力は4つのRFステーションに分割される。酸化のためのRF電力の開始時の値は、約60〜90W/ステーションであってよい。62.5W/ステーションのRF電力値は、基材の酸化がほぼないことを示した。従って特定の実施形態では、酸化感受性基材上でプラズマ強化蒸着を約60〜90W/ステーション以下で、即ち酸化のためのRF電力の開始時の値以下で実施してよい。
図3Aに示す条件及びデータに対応する以下の表1は、RF束(即ちRF電力及び/又はRF時間)を変化させることによってフィルムの応力を調節できることを示す。約4kW等の高いRF電力では、応力は−200〜−250MPaの圧縮力である。ここに示すRFが小さくなると、応力はより小さな圧縮力となり、ニュートラルな状態に近づく。表1はまた、同一のRF電力値においてRF時間が短くなると、応力値がよりニュートラルに近づくことも示す。例えば、RF電力が250Wの場合、RF時間を3倍に増大させるとフィルム応力も約3倍に上昇する。RF時間が増大しても、フィルムの降伏電圧は上昇する(即ち、BVDがより負になる)。これは、低い損傷条件で形成されたフィルムは低い降伏電圧を有することを示唆する。しかしながら、これはすべての場合に当てはまるわけではなく、それは、500Wで形成されたフィルムは250Wで形成されたフィルムよりも低い(より負の)BVDを示すという事実によって証明される。
表1:異なるRF電力及RF時間による、保護層ALDフィルムの特性
Figure 0006538300
ここに挙げる全てのRFレベルは300mmウエハに関するものであり、異なるサイズのウエハに関しては適宜調整してよい。RF電力レベルは面積と線形比率で対応する(他のサイズのウエハに関するRF電力レベルへの変換は、単位面積あたりのプラズマ密度及び分布を一定に維持して行うことができる)。例えば、125W/ステーションの報告された値を、直径450mmのウエハに関する約280W/ステーションでの値に調整してよい。
ある実施形態では、保護層を生成するために使用するRF電力は、約12.5〜125W/ステーションである。別の実施形態では、保護層を生成するために使用するRF電力は、50〜125W/ステーション又は約100W/ステーション未満である。
RF電力を印加する時間量(RF時間)もまた、基材の酸化量に影響を与えることができる。一般に、RF時間が長くなると基材はより酸化される。プラズマ強化ALD又はCFDサイクル中のRF時間の長さは約50ミリ秒〜1秒の範囲、例えば約0.25秒であってよい。
本明細書に記載するRFレベルは高周波(HF)RFに関するものであるが、特定の実施形態では、HFRFに加えて低周波(LF)RFも印加してよい。例示的な高周波RF周波数は、約1.8MHz〜2.45GHzの周波数を含んでよいがこれに限定されない。例示的な低周波RF周波数は、約50kHz〜500kHzの周波数を含んでよいがこれに限定されない。
酸化反応物の選択もまた、基材の酸化量に影響を与えることができる。酸化反応物はO2と弱酸化剤との混合物であることがある。弱酸化剤の例としては、二酸化炭素(CO2)及び一酸化炭素(CO)等の炭素酸化物、亜酸化窒素(N2O)、一酸化窒素(NO)及び二酸化窒素(NO2)等の窒素酸化物、並びに一酸化硫黄(SO)及び二酸化硫黄(SO2)等の硫黄酸化物が挙げられる。他の弱酸化剤の例としては、酸素含有炭化水素(Cxyz)及び水(H2O)が挙げられる。一般に、O2の相対量が少なく、弱酸化剤の相対量が多くなると、基材の酸化は弱くなる。場合によっては、酸化反応物からO2を完全に排除してよい。いくつかの実施形態では、酸化反応物は弱酸化剤に加えて又は弱酸化剤の代わりにオゾンを含んでよい。オゾンは一般に強酸化剤であるが、CFD中に起こるもの等の表面反応はイオン駆動することはできず、従ってオゾンを、酸化反応物又は酸化反応物の成分の潜在的候補とする。オゾンを使用する場合、基材の表面損傷を、一重項状態で可能となるラジカル反応に限定することができる。反対に、プラズマ(容量結合プラズマ又は誘導結合プラズマ)でO2をクラックすると、Oの存在により、イオンに関連する損傷を基材に与え得る。
図3Bは、2つの異なる酸化反応物を用いる効果を実証する。2つの異なるレベルのRF電力においてデータを示す。酸化反応物がN2Oのみである場合、酸化反応物がN2OとO2との混合物である場合に比べて基材の酸化量は減少する。
感受性基材上に保護層を形成するためのある実施形態では、酸化反応物は約0〜約50%のO2及び約50〜約100%の弱酸化剤の混合物である。酸化反応物を0〜50%のO2とし、残りを1つ又は複数の弱酸化剤としてよい。酸化反応物の流量は合計約1〜25SLMとしてよい。例えば、酸化反応物は、10SLMのO2及び約10SLMのN2O等の弱酸化剤の合計約20SLMで流れてよい。酸化反応物は、RF照射と同時に導入してよく、又は連続的に流れてよい。
いくつかの実施形態では、シリコン含有化合物を使用する。この反応物は、約0.25mL/分〜約4mL/分の量で導入してよく、いくつかの実施形態では約0.5mL/分の量で導入される。
本明細書の記述の大半は酸化シリコンフィルムの形成に焦点を当てているが、本明細書に記載する発明は、他のタイプのフィルムを反応感受性基材上に形成するためにも使用できる。例えば、上述の温度及びRF電力レベルを用いて、シリコン前駆物質及び窒素含有共反応物を用いるプラズマアシスト反応においてSiNを形成できる。このようにして、窒化感受性基材の望ましくない窒化を防ぐことができる。その上、本方法は金属酸化物及び金属窒化物フィルムを含む非シリコン含有フィルムの蒸着にも使用できる。
基材の酸化に影響を与える別の重要な因子は、CFDプロセスを行う際の圧力である。圧力を低くすると酸化を弱くすることができ、従って、保護層を生成する間、CFDプロセスを低圧で実施するのが好ましい。ある実施形態では、圧力は約2〜10Torr、例えば約6Torrであってよい。
保護層の厚さは、完成品の性能にとって重要な特性である。保護層の製造に用いる処理条件により、フィルムの電気的品質が劣ったものとなることがある。よって保護層は、後続の処理及び使用中に、下側にある基材の酸化を十分に防げるよう十分に厚く、その一方で、フィルム全体の所望の電気的特性を達成できるよう十分に薄くなければならない。ある実施形態では、保護層の厚さは約10〜約50Åであってよい。50Åは、より攻撃性のプロセス条件における後続の酸化を防ぐためには十分であり得るが、いくつかの実施形態では、保護層はより厚くてもよく、例えば約100Å未満、又は場合によっては100Åより厚くてもよい。いくつかの実施形態では、保護層はその全体がSiO2(又は他の材料)層であってよい。
本発明のある態様では、保護層は二重層に組込まれる。保護層は底層を形成し、電気的に有利な層を保護層の上に蒸着する。保護層は不十分な酸化変換により、低降伏電圧(BDV)及び高漏洩電流等の電気的に不利な品質を有する場合があり、電気的に有利な層をその上に蒸着して、完成品が所望の電気的品質を有することを保証することが望ましい。電気的に有利な層は、底部保護層の製造に比べて高い基材温度、高いRF電力、高い圧力、長いRF時間で、及び/又は底部保護層の製造に使用するものとは異なる酸化反応物(即ち他の副反応物)を使用して蒸着してよい。
電気的に有利な層を形成する際、基材温度は一般に約50℃〜約400℃に維持される。いくつかの実施形態では、基材は約150℃〜約250℃に維持され、他の実施形態では基材は約300℃〜400℃に維持される。いくつかの実施形態では各層の形成は同一の基材温度で行われる(即ち、二重層が同一温度で生成される)が、他の実施形態では、電気的に有利な層の形成中に基材温度はより高くなる。
電気的に有利な層を生成するために使用するRF電力は、約62.5W/ステーション〜約375W/ステーション、例えば250W/ステーションであってよい。RF時間は約50ミリ秒〜約1秒であってよく、ある実施形態では約0.25秒である。
保護層を生成するために使用する酸化反応物と同様、電気的に有利な層を生成するために使用する酸化反応物は通常、O2と弱酸化剤との混合物であり、0〜約50%のO2及び50〜100%の弱酸化剤を含む。いくつかの実施形態では、電気的に有利な層の蒸着中のO2:弱酸化剤の比は、保護層の蒸着のために使用する比よりも高い。他の実施形態では、同一のO2:弱酸化剤の比を各層の蒸着に使用する。酸化反応物の総流量は約1〜25SLMであってよく、ある実施形態では約20SLMであり、10SLMのO2及び10SLMのN2O等の弱酸化剤を含む。
いくつかの実施形態では、シリコン含有反応物を用いて電気的に有利な層を蒸着する。この反応物は、約0.25mL/分〜約4mL/分の量で導入してよく、いくつかの実施形態では、約0.5mL/分の量で導入される。
電気的に有利な層を生成するために使用する圧力は、約2〜約10Torr、例えば約6Torrであってよい。いくつかの実施形態では、保護層及び電気的に有利な層はそれぞれ同一の圧力で蒸着されるが、他の実施形態では、所望の電気的品質を達成するために、電気的に有利な層を比較的高い圧力で蒸着する。
電気的に有利な層の厚さは、用途に応じて決定される。例えば、論理技術におけるキャップとしての用途では、層は約1nm〜約25nmであってよく、論理技術における界面層としての用途では、層は約5Å〜40Åであってよい。異なる文脈では他の厚さが適切であり得る。
完成品の機能性にとって重要である別の特徴は、保護層及び電気的に有利な層の相対厚さである。いくつかの実施形態では、保護層や二重層の全厚さの約1%〜約20%である。いくつかの応用例では、例えば全厚さの1%未満又は20%超等、この範囲外の比率が必要となる場合がある。
図4は、保護層の様々な相対厚さに関する降伏電圧(BDV)を示す。このデータセットのための全てのフィルム厚さは1000±50Åであった。データポイントは、図4のx軸上で右に行くほど、比較的厚い保護フィルム及び比較的薄い電気的に有利なフィルムを有する二重層を表す。電気的に有利な層を形成するためのプロセスは、T=150℃、HF電力=625W/ステーション、3.5Tで、O2/N2O酸化剤反応物を用いて行った。保護層を形成するためのプロセスは、T=150℃、HF電力=65W/ステーション、2.5Tで、N2O酸化剤反応物を用いて行った。二重層のBDVは、二重層内での保護層の相対厚さに強い依存性を示し、即ち二重層フィルム積層の特性は調整可能であることを示している。データは、保護層が二重層の全厚さの約20%又はそれ未満である場合、BDVが比較的良好なままであることを示唆している。
本発明の別の態様は、標準的なシリコン基材を試験ロケットとして使用して基材の酸化を評価し、これによってデバイス基材の酸化を試験するにあたっての複雑性を回避する方法である。本方法は、CFDプロセスを多数のサイクル実施し、層の厚さ対サイクル数をプロットすることを伴う。変数間の線形対応を用いる(従って各CFDサイクルはほぼ同一の厚さのフィルムを蒸着すると仮定する)ことにより、y切片を外挿して基材表面の自然酸化厚さを提供することができる。より大きなy切片は基材のより強い酸化を意味し、y切片がゼロであることは、基材の酸化が存在しないことを意味する。本方法の実施例を上記図2に関して説明する。本方法を実施する際、多数のフィルム層を蒸着してから第1の厚さ測定を行う必要がある。これは、基材の損傷に関してより正確な情報を得るための補助となり得る。いくつかの実装形態では、少なくとも約5、又は少なくとも約10の層を蒸着してから第1の厚さ測定を行う。蒸着の核形成段階中に基材がコーティングされると、基材の損傷は最小となることが期待される。
本発明の別の態様は、上述の二重層によるアプローチにおいて、保護層の最小厚さを決定するための方法に関する。本方法により、酸化感受性基材を保護するために十分でありながら、二重層の所望の電気的特性に悪影響を与える厚さではない、保護層の厚さを選択できる。
様々な厚さの一連の保護フィルムを、シリコンウエハを含むがこれに限定されない別個の基材上に蒸着できる。例えば約0Å〜約300Åの範囲の様々な厚さの層を、別個の基材上に蒸着する。各基材上の層のプラズマ処理前厚さを測定する。次に、フィルム層をそれぞれ100サイクルの非蒸着プラズマに曝露する。例えば、RF電力=2500W(625W/ステーション)、基材温度=150℃及びP=3.5Tで、基材をO2/N2O混合プラズマの非蒸着サイクルに曝露できる。次に、各層のプラズマ処理後の厚さを測定する。Δ厚さを、プラズマ処理前厚さとプラズマ処理後厚さとの間の差として算出する。Δ厚さをフィルム層のプラズマ処理前厚さに対してプロットする。Δ厚さが飽和する厚さ(即ち、Δ厚さが横ばい状態になるか又は実質的に安定する点)を見つけることにより、基材を酸化から保護するために使用する最小厚さを決定できる。「実質的に安定」とは、フィルムの1つの追加の層によるΔ厚さの変化が約0.5Å未満である場合のことを意味してよい。この点において、表面酸化による厚さの増大は保護フィルムによって防止され、Δ厚さはプラズマイオン衝撃によるフィルムの高密度化のみによるものである。
上述の分析は、Δ厚さが2つの主要な寄与因子、即ち(1)O種が保護層を貫通することによって引き起こされる基材の酸化による厚さの増大、及び(2)プラズマイオン衝撃によるフィルムの高密度化による厚さの減少を有すると仮定している。各ウエハは同一のRFサイクルで加工されるため、フィルムの高密度化は異なるウエハ間で均一であると仮定される。
図5は、本方法を使用して得られる実験データセットを示す。図5に示すように、データは、50〜100Åの保護層によって基材の酸化が効果的に防止されたことを示唆している。データは更に、上述の条件において100サイクルで生じたフィルムの高密度化により、約5Åのフィルムの高密度化が発生したことも示唆している。他の蒸着条件を用いる場合(例えば異なるフィルムタイプ、下側にある基材、RF束、温度、圧力等)、最小厚さは異なり得る。開示した方法を用いて、特定の二重層形成プロセスを、必要な電気的特性を達成するために適合することができる。
本方法の説明において使用している数値は単なる例として上げたものであり、本発明の範囲を限定することを意図したものではない。幅広い範囲の温度、RF電力、圧力及びプラズマ構成を使用できることは、当業者には理解されるであろう。
装置
上述の実施形態のうち1つ又は複数において、いずれの適切なプロセスステーションを用いてよいことを理解されたい。例えば、図6はCFDプロセスステーション1300のある実施形態を概略的に示す。説明を簡潔にするために、CFDプロセスステーション1300を、低圧環境を維持するためのプロセスチャンバ本体1302を有するスタンドアローンタイプのプロセスステーションとして示す。しかしながら、一般的なプロセスツール環境には、多数のCFDプロセスステーションが含まれ得ることを理解されたい。例えば、図7はマルチステーション処理ツール2400の実施形態を示す。更に、いくつかの実施形態では、既に詳細に説明したものを含むCFDプロセスステーション1400の1つ又は複数のハードウェアパラメータは、1つ又は複数のコンピュータコントローラによってプログラムを用いて調整できることを理解されたい。
CFDプロセスステーション1300は、プロセスガスを分配シャワーヘッド1306に送達するための反応物送達システム1301と流体連通している。反応物送達システム1301は、シャワーヘッド1306への送達のためにプロセスガスを混合及び/又は調質するための混合容器1304を含む。1つ又は複数の混合容器流入バルブ1320は、混合容器1304へのプロセスガスの導入を制御できる。
BTBASのようないくつかの反応物を、プロセスステーションでの気化及び後続のプロセスステーションへの送達の前に、液体の形態で貯蔵してよい。例えば、図6の実施形態は混合容器1304に供給される液状の反応物を気化するための気化ポイント1303を含む。いくつかの実施形態では、気化ポイント1303は加熱気化装置であってよい。このような気化装置から生成された反応物の飽和蒸気を下流の送達配管において濃縮してよい。不相溶性ガスを濃縮された反応物に曝露することにより、小粒子が生成され得る。これら小粒子は、配管を詰まらせる、バルブの動作を妨げる、及び基材を汚染する等することがある。このような問題に対処するためのいくつかのアプローチは、送達配管を掃出及び/又は排気することによって残留反応物を除去することを伴う。しかしながら、送達配管を掃出すると、プロセスステーションのサイクル時間が増大し得て、プロセスステーションのスループットを低下させる。よっていくつかの実施形態では、気化ポイント1303の下流の送達配管をヒートトレースしてよい。いくつかの実施例では、混合容器1304もヒートトレースしてよい。ある非限定的な実施例では、気化ポイント1303の下流の配管は、混合容器1304において約100℃から約150℃へ上昇する温度プロファイルを有する。
いくつかの実施形態では、液体反応物を液体注入装置において気化してよい。例えば液体注入装置は、混合容器の上流のキャリアガス流への液体反応物のパルスを注入してよい。あるシナリオでは、液体注入装置は、液体を高圧から低圧へと瞬間的に転移させることによって反応物を気化してよい。別のシナリオでは、液体注入装置は、液体を霧状にして、加熱送達配管において後に気化される微細な小滴とすることができる。この微細な小滴は、より大きな小滴よりも速く気化でき、液体注入と完全気化との間の遅延を低減する。より速い気化により、気化ポイント1303からの下流の配管の長さを削減できる。あるシナリオでは、液体注入装置を混合容器1304に直接設置してよい。別のシナリオでは、液体注入装置をシャワーヘッド1306に直接設置してよい。
いくつかの実施形態では、気化及びプロセスステーション1300への送達のために液体の質量流量を制御するために、気化ポイント1303の上流に液体流コントローラを設けてよい。例えば液体流コントローラ(LFC)は、LFCの下流に位置する熱式質量流量計(MFM)を含んでよい。続いて、MFMとの電気通信において比例積分偏差(PID)コントローラによって提供されるフィードバック制御信号に応じて、LFCのプランジャバルブを調整する。しかしながら、フィードバック制御を用いて液体流を安定化するには1秒以上の時間がかかり得る。これにより、液体反応物の添加のための時間が長くなり得る。よっていくつかの実施形態では、フィードバック制御モードとダイレクト制御モードとの間でLFCを動的に切り替えることができる。いくつかの実施形態では、LFC及びPIDコントローラの感知チューブを無効化することにより、LFCをフィードバック制御モードからダイレクト制御モードへ動的に切り替えることができる。
シャワーヘッド1306はプロセスガスを基材1312へと分配する。図6に示す実施形態では、基材1312はシャワーヘッド1306の下側に位置し、架台1308上に静置された状態で示されている。シャワーヘッド1306はいずれの適切な形状を有してよく、プロセスガスを基材1312へと分配するためのポートを、いずれの適切な数及び配置で有してよいことを理解されたい。
いくつかの実施形態では、シャワーヘッド1306の下側に微小容積1307が位置している。プロセスステーションの全容積ではなく、この微小容積においてCFDプロセスを実施することにより、反応物曝露及び掃出時間を短縮すること、CFDプロセス条件(例えば圧力、温度等)を変更するための時間を短縮すること、プロセスステーションのロボット工学的部分のプロセスガスへの曝露を制限すること等を達成できる。例示的な微小容積のサイズとしては、0.1リットル〜2リットルの容積が挙げられるがこれに限定されない。
いくつかの実施形態では、基材1312を微小容積1307に曝露する及び/又は微小容積1307の容積を変化させるために、架台1308を上下させてよい。例えば、基材輸送段階において、基材1312を架台1308に載せることができるようにするために、架台1308を下げてよい。CFDプロセス段階中、基材1312を微小容積1307内に位置決めするために、架台1308を上げてよい。いくつかの実施形態では、CFDプロセス中にフローインピーダンスが高い領域を生成するために、微小容積1307は基材1312及び架台1308の一部を完全に取り囲む。
任意に、CFDプロセスの一部において、微小容積1307内のプロセス圧力、反応物濃度等を調節するために、架台1308を上下させてよい。CFDプロセス中にプロセスチャンバ本体1302がベース圧力のままであるようなあるシナリオでは、架台1308を下げることによって微小容積1307を排気することができる。微小容積とプロセスチャンバ容積の比の例としては、1:500〜1:10の容積比が挙げられるがこれに限定されない。いくつかの実施形態では、架台の高さは、適切なコンピュータコントローラによってプログラムを用いて調整してよいことを理解されたい。
別のシナリオでは、架台1308の高さを調整することにより、CFDプロセスが含むプラズマ賦活及び/又は処理サイクルの間にプラズマ密度を変化させることができる。CFDプロセス段階の終わりには、別の基材の輸送段階中に架台1308を下げて、架台1308から基材1312を除去することができる。
いくつかの実施形態では、架台1308を冷却して、蒸着プロセス中の基材への損傷の防止を補助することができる。装置/ハードウェアの他の部分を冷却して、基材への損傷の防止を補助することもできる。例えば、冷却されるシャワーヘッド及び/又は冷却されるチャンバを用いてよい。冷却してよいチャンバ表面の例としては、上面プレート、チャンバ本体、リブ、装填板、心棒、輸送アーム等が挙げられる。この冷却は、これを行わなければ発生し得る温度上昇を中和することができる。冷却の1つの目的は、基材を低温に維持することである。これら冷却される構成部品の温度は約25〜300℃、又は約35〜100℃であってよい。冷却は、例えば冷却装置からの液体が循環する冷却ループを設けることによって実現してよい。他の冷却方法を用いてもよく、これらは当業者に広く知られている。
上述の例示的な微小容積の変化は高さ調整可能な架台についての言及であるが、いくつかの実施形態では、架台1308に対するシャワーヘッド1306の位置を調整することによって微小容積1307の容積を変化させてよいことを理解されたい。更に、本開示の範囲内のいずれの適切な機構によって、架台1308及び/又はシャワーヘッド1306の垂直位置を変化させてよいことを理解されたい。いくつかの実施形態では、架台1308は、基材1312の配向を回転させるための回転軸を含んでよい。いくつかの実施形態では、1つ又は複数の適切なコンピュータコントローラによって、プログラムを用いてこれらの例示的な調整のうちの1つ又は複数を実施してよいことを理解されたい。
図6に示す実施形態に戻ると、シャワーヘッド1308及び架台1308は、RF電源1314及びプラズマに給電するためのマッチングネットワーク1316に電気的に接続されている。いくつかの実施形態では、プロセスステーション圧力、ガス濃度、RF源の電力、RF源の周波数及びプラズマ電力パルスタイミングのうちの1つ又は複数を制御することにより、プラズマエネルギを制御してよい。例えば、RF電源1314及びマッチングネットワーク1316をいずれの適切な電力で作動させて、所望の組成のラジカル種を有するプラズマを形成してよい。適切な電力の例は上述されている。同様に、RF電源1314は、いずれの適切な周波数のRF電力を供給してよい。いくつかの実施形態では、高周波及び低周波RF電力源を互いに独立して制御するようにRF電源1314を構成してよい。低周波RF周波数の例は、50kHz〜500kHzの周波数を含んでよいがこれに限定されない。高周波RF周波数の例は、1.8MHz〜2.45GHzの周波数を含んでよいがこれに限定されない。いずれの適切なパラメータを個別的又は連続的に調整して、表面反応のためのプラズマエネルギを供給してよいことを理解されたい。ある非限定的な実施例では、プラズマ電力を断続的なパルスとすることで、連続的に給電されるプラズマと比べて、基材表面とのイオン衝撃を低減してよい。
いくつかの実施形態では、1つ又は複数のプラズマ監視装置によってプラズマを原位置で監視してよい。あるシナリオでは、プラズマ電力を1つ又は複数の電圧、電流センサ(例えばVIプローブ)で監視してよい。別のシナリオでは、プラズマ密度及び/又はプロセスガス濃度を、1つ又は複数の光学発光分析センサ(OES)で測定してよい。いくつかの実施形態では、1つ又は複数のプラズマパラメータを、このような原位置プラズマ監視装置からの測定に基づいてプログラムを用いて調整してよい。例えば、プログラムによるプラズマ電力の制御を提供するために、OESセンサをフィードバックループで用いてよい。いくつかの実施形態では、プラズマ及び他のプロセス特性を監視するために他の監視装置を用いてよい。このような監視装置は、赤外線(IR)監視装置、音響監視装置、及び圧力変換装置を含んでよいがこれに限定されない。
いくつかの実施形態では、入出力制御(IOC)順列命令によってプラズマを制御してよい。ある実施形態では、プラズマ賦活段階のためのプラズマ条件を設定するための命令を、CFDプロセス段階の対応するプラズマ賦活レシピ段階に含んでよい。場合によっては、プロセスレシピ段階を、CFDプロセス段階のためのすべての命令をプロセス段階と同時に実行するように順列配置してよい。ある実施形態では、1つ又は複数のプラズマパラメータを設定するための命令を、プラズマプロセス段階に先行するレシピ段階に含んでよい。例えば、第1のレシピ段階は、不活性及び/又は反応物ガスの流量を設定するための命令、プラズマ生成装置を電力設定ポイントに設定するための命令、及び第1のレシピ段階のための時間遅延命令を含んでよい。後続の第2のレシピ段階は、プラズマ生成器を稼働させるための命令及び第2のレシピ段階のための時間遅延命令を含んでよい。第3のレシピ段階は、プラズマ生成器を停止させるための命令及び第3のレシピ段階のための時間遅延命令を含んでよい。これらのレシピ段階は、本開示の範囲内においていずれの適切な方法で更に細分化及び/又は反復してよいことを理解されたい。
従来の蒸着プロセスでは、プラズマ照射は数秒以上程度の期間続く。本明細書に記載する特定の実施形態では、これよりかなり短いプラズマ照射をCFDサイクル中に印加できる。このプラズマ照射は詳細には50ミリ秒〜1秒程度であり得る。このような短いRFプラズマ照射はプラズマの迅速な安定化を必要とする。これを実現するために、プラズマ生成器を、特定の電圧に対してインピーダンスマッチングを事前設定しながら、周波数がフロートすることができるように構成してよい。従来、高周波数プラズマを約13.56MHzのRF周波数において生成する。本明細書に記載する様々な実施形態では、周波数はこの標準値とは異なる値へフロートすることができる。特定の電圧に対するインピーダンスマッチングの一方で、周波数がフロートできるようにすることにより、プラズマを極めて速く安定化させることができ、この結果は、CFDサイクルと関連して極めて短いプラズマ照射を用いる場合に重要となり得る。
いくつかの実施形態では、ヒータ1310によって架台1308を温度制御してよい。更に、いくつかの実施形態では、バタフライバルブ1318によって、CFDプロセスステーション1300のための圧力制御を提供してよい。図6の実施形態に示すように、バタフライバルブ1318は、下流の真空ポンプ(図示せず)が提供する真空を絞る。しかしながらいくつかの実施形態では、プロセスステーション1300の圧力制御を、CFDプロセスステーション1300に導入される1つ又は複数のガスの流量を変化させることによって調整してよい。
上述のように、1つ又は複数のプロセスステーションは、マルチステーション処理ツールに含んでよい。図7は、インバウンド装填ロック2402及びアウトバウンド装填ロック2404を有するマルチステーション処理ツール2400の実施形態の概略図を示し、これらロックの一方又は両方は遠隔プラズマ源を備えてよい。ロボット2406は、大気圧において、ポッド2408を通して装填されたカセットから大気ポート2410を介してインバウンド装填ロック2402へとウエハを移動させるよう構成される。ロボット2406によって、インバウンド装填ロック2402内の架台2412上にウエハを配置し、大気ポート2410を閉鎖し、装填ロックをポンプダウンする。インバウンド装填ロック2402が遠隔プラズマ源を備える場合、ウエハを処理チャンバ2414に導入する前に、装填ロックにおいて遠隔プラズマ処理に曝露してよい。更に、例えば湿気及び吸収ガスを除去するために、インバウンド装填ロック2402においてウエハを加熱してもよい。次に、処理チャンバ2414へのチャンバ輸送ポート2416を開放し、別のロボット(図示せず)がウエハを、処理のための反応器内に示した第1のステーションの架台上の反応器内に配置する。図4に示す実施形態が装填ロックを含む場合、いくつかの実施形態では、ウエハをプロセスステーションに直接入れることができることを理解されたい。
図示した処理チャンバ2414は、図7に示す実施形態では1〜4の番号を付した4つのプロセスステーションを備える。各ステーションは加熱架台(ステーション1に関して2418で示す)及びガスライン流入口を有する。いくつかの実施形態では、各プロセスステーションは異なる又は複数の目的を有してよいことを理解されたい。例えばいくつかの実施形態では、プロセスステーションはCFDプロセスモードとPECVDプロセスモードとの間で切り替え可能であってよい。更に又は代替として、いくつかの実施形態では、処理チャンバ2414はCFDプロセスステーション及びPECVDプロセスステーションの1つ以上の適合する対を含んでよい。図示した処理チャンバ2414は4つのステーションを備えるが、本開示による処理チャンバはいずれの適切な数のステーションを有してよいことを理解されたい。例えば、いくつかの実施形態では、処理チャンバは5つ以上のステーションを有してよく、他の実施形態では、処理チャンバは3つ以下のステーションを有してよい。
図7はまた、処理チャンバ2414内でウエハを輸送するためのウエハ取扱いシステム2490の実施形態を示す。いくつかの実施形態では、ウエハ取扱いシステム2490は、様々なプロセスステーションの間で、及び/又はプロセスステーションと装填ロックとの間で、ウエハを輸送できる。いずれの適切なウエハ取扱いシステムを使用してよいことを理解されたい。非限定的な例としては、ウエハ回転ラック及びウエハ取扱いロボットが挙げられる。図7はまた、プロセス条件及びプロセスツール2400のハードウェア状態を制御するために使用されるシステムコントローラ2450の実施形態を示す。システムコントローラ2450は、1つ又は複数のメモリデバイス2456、1つ又は複数のマスストレージデバイス2454、及び1つ又は複数のプロセッサ2452を含んでよい。プロセッサ2452は、CPU又はコンピュータ、アナログ及び/又はデジタル入出力接続、ステップモータコントローラボード等を含んでよい。
いくつかの実施形態では、システムコントローラ2450は、プロセスツール2400の全ての活動を制御する。システムコントローラ2450は、マスストレージデバイス2454に記憶され、メモリデバイス2456にロードされ、プロセッサ2452上で実行される、システム制御ソフトウエア2458を実行する。システム制御ソフトウエア2458は、タイミング、ガスの混合、チャンバ及び/又はステーションの圧力、チャンバ及び/又はステーションの温度、ウエハの温度、目標電力レベル、RF電力レベル、RF曝露時間、基材の架台、チャック及び/又はサセプタの位置、並びにプロセスツール2400が実施する特定のプロセスの他のパラメータを制御するための命令を含んでよい。システム制御ソフトウエア2458はいずれの適切な様式で構成してよい。例えば、様々なプロセスツールプロセスを実行するために必要なプロセスツールコンポーネントの動作を制御するために、様々なプロセスツールコンポーネントサブルーチン又は制御オブジェクトを書いてよい。システム制御ソフトウエア2458は、いずれの適切なコンピュータ可読言語でコード化してよい。
いくつかの実施形態では、システム制御ソフトウエア2458は、上述の様々なパラメータを制御するための入出力制御(IOC)順列命令を含んでよい。例えば、CFDプロセスの各段階は、システムコントローラ2450が実行するための1つ又は複数の命令を含んでよい。CFD段階のためのプロセス条件を設定するための命令を、対応するCFDレシピ段階に含んでよい。いくつかの実施形態では、CFDレシピ段階は、CFDプロセス段階のためのすべての命令が、このプロセス段階によって同時に実行されるよう、順次配列してよい。
いくつかの実施形態では、システムコントローラ2450と関連するマスストレージデバイス2454及び/又はメモリデバイス2456に記憶された他のコンピュータソフトウエア及び/又はプログラムを用いてよい。この目的のためのプログラム又はプログラムの一部の例は、基材位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、及びプラズマ制御プログラムを含む。
基材位置決めプログラムは、基材を架台2418上に装填するため、及び基材とプロセスツール2400の他の部品との間の間隙を制御するためのプロセスツールコンポーネントのための、プログラムコードを含んでよい。
プロセスガス制御プログラムは、ガスの組成及び流量を制御するための、並びに任意に、プロセスステーション内の圧力を安定化させるために、蒸着前に1つ又は複数のプロセスステーションへとガスを流すための、コードを含んでよい。いくつかの実施形態では、コントローラは、反応物の条件の第1のセットにおいて保護層を蒸着するための命令、及び反応物の条件の第2のセットにおいて電気的に有利な層を蒸着するための命令を含む。反応物の条件の第2のセットは、高い強酸化剤:弱酸化剤の比を含んでよい。
圧力制御プログラムは、例えばプロセスステーションの排気装置の絞りバルブ、プロセスステーションへのガス流等を統御することにより、プロセスステーション内の圧力を制御するためのコードを含んでよい。いくつかの実施形態では、コントローラは、第1の圧力において保護層を蒸着するための命令、及び第2の圧力において保護層全体にわたって電気的に有利な層を蒸着するための命令を含み、ここで第2の圧力は第1の圧力より高い。
ヒータ制御プログラムは、基材を過熱するために用いる加熱ユニットへの電流を制御するためのコードを含んでよい。代替として、ヒータ制御プログラムは、基材への(ヘリウム等の)熱伝達ガスの送達を制御してよい。特定の実装形態では、コントローラは、第1の温度で保護層を蒸着するための、及び第2の温度で保護層全体にわたって電気的に有利な層を蒸着するための命令を含み、ここで第2の温度は第1の温度より高い。
プラズマ制御プログラムは、本明細書に記載の実施形態による1つ又は複数のプロセスステーションにおけるRF電力レベル及び曝露時間を設定するためのコードを含んでよい。いくつかの実施形態では、コントローラは、第1のRF電力レベル及びRF期間で保護層を蒸着するための、並びに第2のRF電力レベル及びRF期間で保護層全体にわたって電気的に有利な層を蒸着するための命令を含む。第2のRF電力レベル及び/又は第2のRF期間は、第1のRF電力レベル/期間より高い/長いものであり得る。
いくつかの実施形態では、システムコントローラ2450と関連するユーザインタフェースが存在し得る。このユーザインタフェースは、表示スクリーン、装置及び/又はプロセス条件のグラフィカルソフトウエアディスプレイ、並びに、位置指示デバイス、キーボード、タッチスクリーン、マイク等のユーザ入力デバイスを含んでよい。
いくつかの実施形態では、システムコントローラ2450が調整するパラメータは、プロセス条件に関するものであってよい。非限定的な例は、プロセスガスの組成及び流量、温度、圧力、プラズマ条件(RFバイアス電力レベル及び曝露時間等)等である。これらのパラメータはレシピの形態でユーザに提供でき、このレシピはユーザインタフェースを利用して入力できる。
プロセスを監視するための信号は、システムコントローラ2450のアナログ及び/又はデジタル入力接続によって、様々なプロセスツールセンサから提供できる。プロセスを制御するための信号は、プロセスツール2400のアナログ及びデジタル出力接続において出力できる。監視され得るプロセスツールセンサの非限定的な例は、質量流量コントローラ、圧力センサ(圧力計等)、熱電対等を含む。適切にプログラムされたフィードバック及び制御アルゴリズムを、これらのセンサからのデータと共に用いて、プロセス条件を維持できる。
システムコントローラ2450は、上述の蒸着プロセスを実装するためのプログラム命令を備えてよい。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度等の様々なプロセスパラメータを制御してよい。命令は、本明細書に記載の様々な実施形態による原位置でのフィルム積層の蒸着を行うために、パラメータを制御してよい。
システムコントローラは典型的には、1つ又は複数のメモリデバイス、及び装置が本発明による方法を実施するような命令を実行するよう構成された1つ又は複数のプロセッサを含む。本発明によるプロセス動作を制御するための命令を含む機械可読かつ非一時的な媒体を、システムコントローラに連結してよい。

Claims (17)

  1. 単一ステーション反応チャンバ又はマルチステーション反応チャンバにおいて、酸化感受性及び/又は窒化感受性基材の曝露表面上に、酸化シリコン二重層又は窒化シリコン二重層を形成する方法であって、
    (a)前記反応チャンバにおいて、前記酸化感受性及び/又は窒化感受性基材をシリコン含有反応物の気相流に周期的に曝露すること、
    (b)前記反応チャンバにおいて、前記酸化感受性及び/又は窒化感受性基材を、酸化反応物又は窒素含有反応物の気相流に曝露すること、
    (c)前記シリコン含有反応物の前記気相流が停止したら、12.5〜125W/ステーションの高周波数の高周波電力を用いて前記反応チャンバ内でプラズマを周期的に着火することによって、前記二重層の第1の層を形成すること、
    (d)前記反応チャンバにおいて、前記酸化感受性又は窒化感受性基材を、第2のシリコン含有反応物の第2の気相流に周期的に曝露すること、
    (e)前記酸化感受性又は窒化感受性基材を、第2の酸化反応物の第2の気相流又は第2の窒素含有反応物の第2の気相流に曝露すること、
    (f)前記第2のシリコン含有反応物の前記気相流が停止したら、250〜1500W/ステーションの高周波数の高周波電力を用いて前記反応チャンバ内でプラズマを周期的に着火することによって、前記第1の層の上に第2の層を形成すること、を備え、
    前記プラズマは、2つの電極の間で形成され、前記酸化感受性又は窒化感受性基材は、前記2つの電極の間に位置する、方法。
  2. 請求項1に記載の方法であって、
    前記酸化シリコン材料又は前記窒化シリコン材料の厚さは、10〜50Åである、方法。
  3. 請求項1に記載の方法であって、
    50℃〜200℃で実施される、方法。
  4. 請求項1に記載の方法であって、
    前記酸化反応物は、50〜100%の、CO、CO、NO、NO、NO、スルホキシド、酸素含有炭化水素(C)及び/又は水(HO)からなる群から選択される弱酸化剤、並びに0〜50%のOを含む、方法。
  5. 請求項1に記載の方法であって、
    前記(d)〜(f)の操作は、300℃〜400℃で実施される、方法。
  6. 請求項1に記載の方法であって、
    前記第1の層の厚さは、前記二重層の全厚さの1〜20%である、方法。
  7. 請求項1に記載の方法であって、
    前記(a)〜(c)の操作から前記(d)〜(f)の操作への遷移は、前記第2の酸化反応物又は第2の窒素含有反応物の前記第2の気相流が、前記酸化反応物又は窒素含有反応物の前記気相流とは異なるように、前記酸化反応物又は窒素含有反応物の前記気相流を変化させることを含む、方法。
  8. 請求項7に記載の方法であって、
    前記第2の酸化反応物の前記第2の気相流は、前記酸化反応物の前記気相流よりも高い比率の を含む、方法。
  9. 請求項1に記載の方法であって、
    前記酸化反応物若しくは窒素含有反応物の前記気相流、及び/又は前記第2の酸化反応物の前記第2の気相流若しくは前記第2の窒素含有反応物の前記第2の気相流は、パルスとして前記反応チャンバへと流れる、方法。
  10. 請求項1に記載の方法であって、
    前記酸化感受性又は窒化感受性基材の前記曝露表面は、シリコン(Si)、コバルト(Co)、ゲルマニウム−アンチモン−テルル(GST)、シリコン−ゲルマニウム(SiGe)、窒化シリコン(SiN)、及び炭化シリコン(SiC)からなる群から選択される、方法。
  11. 請求項1に記載の方法であって、
    前記酸化感受性又は前記窒化感受性基材は、2Å以下だけ酸化される、方法。
  12. 基材の曝露表面上に、酸化シリコン二重層又は窒化シリコン二重層を形成する方法であって、
    (a)反応チャンバにおいて、前記基材の温度を25°C〜200°Cに維持して、前記基材をシリコン含有反応物の気相流に周期的に曝露すること、前記基材は酸化感受性又は窒化感受性であること、
    (b)前記基材を、酸化反応物又は窒素含有反応物の気相流に曝露すること、
    (c)前記シリコン含有反応物の前記気相流が停止したら、前記反応チャンバ内でプラズマを周期的に着火することによって、前記二重層の第1の層を形成し、
    (d)前記反応チャンバにおいて、前記基材を、第2のシリコン含有反応物の第2の気相流に周期的に曝露し、前記基材の温度は、前記(a)〜(c)の操作における前記基材の温度より少なくとも50℃高い温度であり、
    (e)前記基材を、第2の酸化反応物又は第2の窒素含有反応物の第2の気相流に曝露すること、
    (f)前記第2のシリコン含有反応物の前記気相流が停止したら、高周波数の高周波電力を用いて前記反応チャンバ内で前記プラズマを周期的に着火することによって、前記第1の層の上に第2の層を形成することを備え、
    前記プラズマは2つの電極の間で形成され、前記基材は前記2つの電極の間に位置する、
    方法。
  13. 請求項12に記載の方法であって、
    前記プラズマは、12.5〜125W/基材の高周波数の高周波電力を用いて着火される、方法。
  14. 請求項12に記載の方法であって、
    前記操作(f)における前記プラズマは、250〜1500W/基材の高周波数の高周波電力を用いて着火される、方法。
  15. 請求項12に記載の方法であって、
    前記第1の層の厚さは、前記二重層の全厚さの1〜20%である、方法。
  16. 請求項12に記載の方法であって、
    前記基材は、2Å以下だけ酸化される、方法。
  17. 請求項1に記載の方法であって、
    前記第1の層の厚さは、
    (i)異なる厚さのシリコン含有保護フィルムが蒸着された、複数の別個の基材を用意すること、
    (ii)前記別個の基材上の前記保護フィルムそれぞれの、プラズマ処理前厚さを測定すること、
    (iii)(ii)の後、前記別個の基材を複数回のプラズマ曝露サイクルに曝露することであって、前記プラズマ曝露中、実質的にいずれの材料も蒸着されない、曝露すること、
    (iv)(iii)の後、前記別個の基材上の前記保護フィルムのプラズマ処理後厚さを測定すること、
    (v)各前記別個の基材の厚さの違いを算出することであって、前記厚さの違いは、前記プラズマ処理前厚さから前記プラズマ処理後厚さを引いたものに対応する、算出すること、
    (vi)前記厚さの違いが実質的に安定する前記保護フィルムの厚さを評価することにより、前記(a)〜(c)の操作において蒸着された前記酸化シリコン材料又は窒化シリコン材料の前記厚さを決定すること
    によって、その蒸着前に決定される、方法。
JP2013231188A 2012-11-08 2013-11-07 感受性基材上にフィルムを蒸着するための方法 Active JP6538300B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261724217P 2012-11-08 2012-11-08
US61/724,217 2012-11-08

Publications (3)

Publication Number Publication Date
JP2014146786A JP2014146786A (ja) 2014-08-14
JP2014146786A5 JP2014146786A5 (ja) 2017-01-26
JP6538300B2 true JP6538300B2 (ja) 2019-07-03

Family

ID=50728302

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013231188A Active JP6538300B2 (ja) 2012-11-08 2013-11-07 感受性基材上にフィルムを蒸着するための方法

Country Status (5)

Country Link
US (4) US9287113B2 (ja)
JP (1) JP6538300B2 (ja)
KR (1) KR102183336B1 (ja)
SG (1) SG2013083654A (ja)
TW (2) TWI612173B (ja)

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105336661B (zh) * 2014-05-29 2019-01-22 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6456764B2 (ja) * 2015-04-28 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6479560B2 (ja) * 2015-05-01 2019-03-06 東京エレクトロン株式会社 成膜装置
WO2016178991A1 (en) * 2015-05-02 2016-11-10 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10381655B2 (en) * 2015-07-13 2019-08-13 Sonata Scientific LLC Surface modified SOFC cathode particles and methods of making same
US9385318B1 (en) * 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US20170040158A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Low temperature ald on semiconductor and metallic surfaces
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR101942819B1 (ko) * 2016-02-05 2019-01-30 연세대학교 산학협력단 박막 형성 방법
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US20170314129A1 (en) 2016-04-29 2017-11-02 Lam Research Corporation Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US12051589B2 (en) 2016-06-28 2024-07-30 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
WO2018038892A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Self-healing semiconductor wafer processing
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10049911B2 (en) * 2016-09-16 2018-08-14 Lam Research Corporation Temporally pulsed and kinetically modulated CVD dielectrics for gapfill applications
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10049882B1 (en) * 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
JP6754493B2 (ja) * 2017-04-19 2020-09-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20180363133A1 (en) * 2017-06-16 2018-12-20 Applied Materials, Inc. Method and Apparatus for Void Free SiN Gapfill
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10822699B2 (en) * 2017-12-29 2020-11-03 Varian Semiconductor Equipment Associates, Inc. Techniques for controlling precursors in chemical deposition processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
WO2019152362A1 (en) 2018-01-30 2019-08-08 Lam Research Corporation Tin oxide mandrels in patterning
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019182872A1 (en) 2018-03-19 2019-09-26 Lam Research Corporation Chamfer-less via integration scheme
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) * 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11521849B2 (en) * 2018-07-20 2022-12-06 Applied Materials, Inc. In-situ deposition process
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP2020066764A (ja) * 2018-10-23 2020-04-30 東京エレクトロン株式会社 成膜装置および成膜方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
WO2020093013A1 (en) * 2018-11-01 2020-05-07 Lam Research Corporation Method for generating high quality plasma for enhanced atomic layer deposition
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN113302716A (zh) * 2018-11-08 2021-08-24 朗姆研究公司 针对3d nand集成具有改善的蚀刻选择性的氮化物膜
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10704143B1 (en) * 2019-01-25 2020-07-07 Asm Ip Holding B.V. Oxide film forming method
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
EP3715502B1 (en) 2019-03-29 2024-01-24 Picosun Oy Coating of 3-dimensional substrates
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210150606A (ko) 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
CN114245832A (zh) * 2019-06-07 2022-03-25 朗姆研究公司 原子层沉积期间的膜特性的原位控制
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
CN115565867A (zh) 2019-06-27 2023-01-03 朗姆研究公司 交替蚀刻与钝化工艺
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021092197A1 (en) * 2019-11-08 2021-05-14 Lam Research Corporation Plasma-enhanced atomic layer deposition with radio-frequency power ramping
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11276570B2 (en) * 2020-07-22 2022-03-15 Applied Materials, Inc. Multi-layer deposition and treatment of silicon nitride films
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114551640A (zh) * 2022-01-27 2022-05-27 晶科能源(海宁)有限公司 太阳能电池制作方法及太阳能电池

Family Cites Families (440)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472A (ja) 1971-10-04 1973-06-23
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0311635A (ja) * 1989-06-08 1991-01-18 Sekiyu Sangyo Katsuseika Center 化合物半導体装置の製造方法
US5094984A (en) 1990-10-12 1992-03-10 Hewlett-Packard Company Suppression of water vapor absorption in glass encapsulation
JPH04364320A (ja) 1991-06-07 1992-12-16 Mitsubishi Electric Corp 脱調検出回路
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (ja) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
DE4136987A1 (de) 1991-11-11 1993-05-13 Leybold Ag Verfahren zur oberflaechenpassivierung von sensoren
JPH05226279A (ja) 1992-02-10 1993-09-03 Toshiba Corp 半導体装置の製造方法
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JPH0781271A (ja) * 1993-09-20 1995-03-28 Hitachi Ltd 自動頁めくり制御方式
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
JPH07176084A (ja) * 1993-12-20 1995-07-14 Kuraray Co Ltd 情報記録媒体用ポリカーボネート樹脂および情報記録媒体の製造方法
JPH09102494A (ja) * 1995-10-09 1997-04-15 Toshiba Corp 半導体装置の保護膜およびその形成方法
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1098032A (ja) 1996-09-20 1998-04-14 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100420753B1 (ko) 1999-03-17 2004-03-02 세미컨덕터300 게엠베하 운트 코 카게 반도체 웨이퍼 상의 갭 충진 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2001274404A (ja) 2000-03-24 2001-10-05 Toshiba Corp 薄膜トランジスタおよびその製造方法
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6482726B1 (en) 2000-10-17 2002-11-19 Advanced Micro Devices, Inc. Control trimming of hard mask for sub-100 nanometer transistor gate
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP4406178B2 (ja) * 2001-03-28 2010-01-27 株式会社渡辺商行 成膜装置
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6610169B2 (en) 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
WO2003076678A2 (en) 2002-03-08 2003-09-18 Sundew Technologies, Llc Ald method and apparatus
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
WO2004094695A2 (en) 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6949442B2 (en) 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7264849B2 (en) 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100545697B1 (ko) 2003-12-29 2006-01-24 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리 방법
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
WO2006026765A2 (en) 2004-09-01 2006-03-09 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN100554506C (zh) 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4228150B2 (ja) 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4752349B2 (ja) 2005-06-23 2011-08-17 大日本印刷株式会社 パターン形成体およびその製造方法
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
CN101288162B (zh) 2005-10-14 2010-06-09 日本电气株式会社 半导体装置的制造方法及其制造装置
TWI329136B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7829159B2 (en) 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TWI424498B (zh) 2006-03-31 2014-01-21 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20090324971A1 (en) 2006-06-16 2009-12-31 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
KR101427142B1 (ko) 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 금속 규산염 막의 원자층 증착
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
WO2008100963A1 (en) 2007-02-12 2008-08-21 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
KR100805018B1 (ko) 2007-03-23 2008-02-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP2008294260A (ja) 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101221598B1 (ko) 2007-12-18 2013-01-14 삼성전자주식회사 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법.
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
KR20090067576A (ko) 2007-12-21 2009-06-25 삼성전자주식회사 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
TWI388078B (zh) 2008-01-30 2013-03-01 Osram Opto Semiconductors Gmbh 電子組件之製造方法及電子組件
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8357617B2 (en) 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
US20100051578A1 (en) 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US8303780B2 (en) 2008-09-30 2012-11-06 Tdk Corporation Method of forming mask for dry etching and manufacturing method of magnetic head using the same method
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
CN101736326B (zh) 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
GB0823565D0 (en) 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
JP5293168B2 (ja) 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2010177652A (ja) 2009-02-02 2010-08-12 Toshiba Corp 半導体装置の製造方法
JP5298938B2 (ja) 2009-02-24 2013-09-25 住友電気工業株式会社 半導体素子の製造方法
JP4792097B2 (ja) 2009-03-25 2011-10-12 株式会社東芝 不揮発性記憶装置及びその製造方法
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP2010251654A (ja) 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2011023655A (ja) * 2009-07-17 2011-02-03 Shimadzu Corp 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101680899B1 (ko) 2009-09-02 2016-11-29 소니 주식회사 고체 촬상 장치 및 그 제조 방법
KR101732187B1 (ko) 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8076241B2 (en) 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8946672B2 (en) 2009-11-11 2015-02-03 Nec Corporation Resistance changing element capable of operating at low voltage, semiconductor device, and method for forming resistance change element
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
KR101758944B1 (ko) 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 신규한 갭 충진 집적화
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8662053B2 (en) 2009-12-22 2014-03-04 Cummins Inc. Pre-combustion device for an internal combustion engine
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) * 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
EP2553141A4 (en) 2010-04-01 2013-08-21 Air Liquide DEPOSITION OF FILMS CONTAINING METAL NITRIDES USING A COMBINATION OF AMINOUS AND HALOGENATED METAL PRECURSORS
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
ES2974490T3 (es) 2010-05-21 2024-06-27 Asm Int Nv Celda solar y método de fabricación de la misma
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR101815527B1 (ko) 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
KR102496406B1 (ko) 2010-11-10 2023-02-06 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
JP5661523B2 (ja) 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US20120258261A1 (en) 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
WO2012148439A1 (en) 2011-04-25 2012-11-01 William Marsh Rice University Direct growth of graphene films on non-catalyst surfaces
US9006802B2 (en) * 2011-08-18 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device manufacturing methods and methods of forming insulating material layers
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
KR102084901B1 (ko) 2011-09-23 2020-03-05 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6065840B2 (ja) 2011-11-02 2017-01-25 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
KR102090210B1 (ko) 2011-12-20 2020-03-17 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
JP5547763B2 (ja) 2012-03-16 2014-07-16 三井造船株式会社 プラズマ生成方法、この方法を用いた薄膜形成方法及びプラズマ生成装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8716149B2 (en) * 2012-05-29 2014-05-06 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved spacers
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140049162A1 (en) 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
CN104347421A (zh) 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
KR102081195B1 (ko) 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9564361B2 (en) 2013-09-13 2017-02-07 Qualcomm Incorporated Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device
US9368348B2 (en) 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
EP3058401A4 (en) 2013-10-17 2017-05-17 Nanosys, Inc. Light emitting diode (led) devices
KR102219147B1 (ko) 2013-11-13 2021-02-22 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
CN103928396A (zh) 2014-04-08 2014-07-16 上海华力微电子有限公司 扩大沟槽开口的方法
US9305837B2 (en) 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9543375B2 (en) 2014-06-27 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. MIM/RRAM structure with improved capacitance and reduced leakage current
TWI735912B (zh) 2014-08-22 2021-08-11 美商蘭姆研究公司 在一狀態期間中的次脈動用之電漿系統、電漿工具、射頻產生器、控制器、及方法
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN105719954B (zh) 2014-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10043690B2 (en) 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9859088B2 (en) 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US9299830B1 (en) 2015-05-07 2016-03-29 Texas Instruments Incorporated Multiple shielding trench gate fet
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN106373880B (zh) 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
KR102250656B1 (ko) 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Also Published As

Publication number Publication date
US9786570B2 (en) 2017-10-10
JP2014146786A (ja) 2014-08-14
US9287113B2 (en) 2016-03-15
US20140141542A1 (en) 2014-05-22
US10741458B2 (en) 2020-08-11
KR102183336B1 (ko) 2020-11-27
US10008428B2 (en) 2018-06-26
SG2013083654A (en) 2014-06-27
TWI675122B (zh) 2019-10-21
US20180247875A1 (en) 2018-08-30
US20170316988A1 (en) 2017-11-02
TWI612173B (zh) 2018-01-21
KR20140059751A (ko) 2014-05-16
US20160155676A1 (en) 2016-06-02
TW201809345A (zh) 2018-03-16
TW201437414A (zh) 2014-10-01

Similar Documents

Publication Publication Date Title
JP6538300B2 (ja) 感受性基材上にフィルムを蒸着するための方法
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
KR102328850B1 (ko) 서브-포화된 원자층 증착 및 등각막 증착
KR102602830B1 (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
KR102538780B1 (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
KR101975071B1 (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
US20140030444A1 (en) High pressure, high power plasma activated conformal film deposition
US11239420B2 (en) Conformal damage-free encapsulation of chalcogenide materials
KR20220006663A (ko) 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161104

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20161104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171205

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180604

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20181204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190401

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20190401

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20190418

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190606

R150 Certificate of patent or registration of utility model

Ref document number: 6538300

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250