TWI424498B - 用以改良介電薄膜之階梯覆蓋與圖案負載的方法 - Google Patents

用以改良介電薄膜之階梯覆蓋與圖案負載的方法 Download PDF

Info

Publication number
TWI424498B
TWI424498B TW096111415A TW96111415A TWI424498B TW I424498 B TWI424498 B TW I424498B TW 096111415 A TW096111415 A TW 096111415A TW 96111415 A TW96111415 A TW 96111415A TW I424498 B TWI424498 B TW I424498B
Authority
TW
Taiwan
Prior art keywords
dielectric layer
layer
plasma
ruthenium
reaction chamber
Prior art date
Application number
TW096111415A
Other languages
English (en)
Other versions
TW200816310A (en
Inventor
Mihaela Balseanu
Li-Qun Xia
Mei-Yee Shek
Saad Hichem M
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/668,911 external-priority patent/US7601651B2/en
Priority claimed from US11/693,005 external-priority patent/US7780865B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200816310A publication Critical patent/TW200816310A/zh
Application granted granted Critical
Publication of TWI424498B publication Critical patent/TWI424498B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

用以改良介電薄膜之階梯覆蓋與圖案負載的方法
本發明之實施例大致上有關於半導體處理的方法及設備。更明確而言,本發明實施例是有關於沉積共形介電膜層的方法。
藉由數種氣體的化學反應在一基板上形成介電層是先進半導體裝置製程主要步驟中的其中一個步驟。這些沉積製程包含化學氣相沉積(CVD)與電漿增強式化學氣相沉積(PECVD),其中電漿增強化學氣相沉積是結合電漿與傳統CVD技術的沉積製程。CVD以及PECVD介電層可做為半導體裝置中的不同膜層。例如,這些介電層可以做為裝置中導線或內連線之間的層間介電層。或者,該些介電層可做為阻障層、蝕刻終止層或間隙壁以及其他膜層。用來做為阻障層與間隙壁的介電層通常沉積在已圖案化基板中的特徵上,例如沉積在用來形成後續線路的水平內連線、垂直內連線(介層孔)、閘極堆疊層等特徵上。較佳者,沉積製程提供一共形膜層(conformal layer)。然而,共形沉積通常是難以達成的。
舉例而言,將阻障層沉積在特徵上時很難不產生表面缺陷或特徵變形等情形。在沉積的過程中,阻障層材料可能形成凸塊(overloaf),也就是在介層孔的肩部沉積過多材料卻在介層孔的底部沉積過少材料,而形成看起來像是麵包側邊的凸塊狀造型。此種現象也因為該介層孔底部的輪廓看起來像腳而被稱為底腳現象(footing)。在極端的例子裡,介層孔的肩部會合攏而在介層孔的頂部形成一接合密封表面。當整個晶圓上的膜層厚度不均勻時,可能會使每個裝置的驅動電流性質造成不良影響。僅僅調整製程參數並無法顯著地改善階梯覆蓋與圖案負載的問題。
在閘極堆疊層上沉積將於後續用來形成間隙壁的共形膜層亦是一種挑戰。雖然目前已發展出使用傳統高溫低壓CVD來沉積作為間隙壁之氮化矽與氧化矽層的方法,然而此類技術的熱預算過高而可能使半導體裝置的幾何形狀持續收縮。雖然氮化矽的PECVD製程可以在較低的溫度下執行,然而所得到的階梯覆蓋與圖案負載結果卻無法如利用高溫低壓CVD方法所形成的結果般地令人滿意。
因此,目前需要一種能在已圖案化基板中已形成之特徵上沉積共形膜層的方法。
本發明實施例提供一種在基板上形成介電膜層的方法,該方法包括將一表面上具有至少一已形成特徵的基板放置於一反應室中、沉積一介電層、以電漿處理該介電層、測定該介電層的厚度,以及重複執行沉積介電層、以一電漿處理該介電層與測定該介電層厚度的步驟。
在一實施例中,提供一種於一反應室內在一已圖案化基板上形成一膜層的方法。該方法包括使該已圖案化基板在存在有一電漿的情況下暴露於一含矽前驅物中(例如八甲基環四矽氧烷,octamethylcyclotetrasiloxane)以在該已圖案化基板上沉積一膜層,以及待沉積該膜層後,利用由諸如氧氣等含氧氣體所形成的電漿來處理該膜層。重複執行該暴露步驟與處理步驟直到獲得所欲的膜層厚度為止。該膜層可能是一氧化矽層或是一摻雜碳的氧化矽層。
在另一實施例中,於一反應室內在一已圖案化基板上形成一膜層的方法包括使該已圖案化基板在存在有一電漿的情況下暴露於一含矽前驅物中以在該已圖案化基板上沉積一膜層,以及待沉積該膜層後,利用由一含氮氣體所形成的電漿來處理該膜層,以及重複該暴露步驟與處理步驟直到獲得所欲的膜層厚度為止。
本發明實施例亦提供一種控制基板上膜層的階梯覆蓋與圖案負載的方法。在一實施例中,該方法包括將基板表面上具有至少一已形成特徵的基板放置於一反應室中;一介電層沉積在該基板上,並使用由含氧或含鹵素氣體所形成的電漿來蝕刻該介電層,以在該至少一已形成的特徵上提供具有所欲輪廓的介電層,其中該含鹵素氣體選自於由氟、氯、溴及其組合所構成之群組中。
在另一實施例中,該方法包括將一基板表面上具有至少一已形成特徵的基板放置於一反應室中,以及沉積一介電層在該基板上。該特徵包含一頂面、一側面以及一底面。該介電層沉積在頂面上的厚度比其沉積在底面與側面上的厚度要厚。隨後利用由含氧或含鹵素氣體所形成的電漿來蝕刻該介電層,其中該含鹵素氣體選自於由氟、氯、溴及其組合物所構成之群組中。該介電層頂面上的蝕刻速率高於該介電層底面及側面上的蝕刻速率。執行一次或多次的介電層沉積與蝕刻步驟,以在該至少一已形成特徵上提供具有所欲輪廓的介電層。
在更進一步的實施例中,該方法包括將一基板表面上具有至少一已形成特徵的基板放置於一反應室中,以及沉積一氮化矽介電層在該基板上。該特徵包含一頂面、一側面與一底面。該氮化矽介電層沉積在頂面上的厚度比其沉積在底面與側面上的厚度要厚。隨後利用三氟化氮(NF3 )電漿蝕刻該介電層,並且該NF3 電漿在頂面上的蝕刻速率高於其在底面及側面上的蝕刻速率,以在該至少一已形成特徵上提供具有所欲輪廓的氮化矽介電層。可執行一次或多次的氮化矽介電層沉積與蝕刻步驟,以提供所欲的輪廓。
本發明提供一種在已形成的特徵上沉積一共形介電層的方法與設備。可從此製程方法受益的膜層包含諸如氧化矽、氮氧化矽或氮化矽膜層等介電材料,這些膜層可做為間隙壁或蝕刻停止層等。該等膜層可能摻雜碳、摻雜氫或是含有其他化學物或元素,以修改其介電特性。該膜層也可摻雜碳或摻雜氮。舉例而言,該等膜層可以是SiCN、SiOC,SiOCN、SiBN、SiBCN、SiC、BN或BCN膜層。在一態樣中,由個別沉積且經過電漿處理的數層薄膜所組成的組合膜層可提供比單一層厚介電層更加共形(conformal)的介電層。適合用於本文中所述製程方法的反應室包括PRODUCERP3反應室、PRODUCERAPFTM PECVD反應室、PRODUCERBLACK DIAMONDPECVD反應室、PRODUCERBLOKPECVD反應室、PRODUCERDARC PECVD反應室、PRODUCER HARP反應室、PRODUCERPECVD反應室、PRODUCER SACVD反應室、PRODUCERSE STRESS NITRIDE PECVD反應室以及PRODUCERTEOS FSG PECVD反應室,上述每種反應室均可購自位於美國加州聖克拉拉市的應用材料公司(Applied Materials,Inc.of Santa Clara,California)。該等反應室可獨立建構,但大部分會做為一集成工具(integrated tool)的一部分。該些製程方法可執行於任何基板上,例如200毫米或300毫米的基板或其他適合用於半導體工藝或平面顯示器製造上的材料。下述製程條件係針對PRODUCERSE Stress NITRIDE PECVD反應室而提供的,此反應室具有兩個隔離開來的處理區。因此,每個基板處理區個別經歷的流速是流入該反應室中之流速的一半。
第1圖是沉積製程100其中一實施例的流程圖。沉積製程100的所有製程步驟可能在同一個反應室中執行。製程100始於步驟110,步驟110包括將一其表面上具有至少一已形成特徵的基板放置於一反應室中。該已形成的特徵可以是任何種類的已形成特徵,例如介層孔、內連線或閘極堆疊層。接著在薄介電層沉積步驟120中,利用CVD或PECVD製程來沉積一介電層。該薄介電層可能為例如氧化矽、氮氧化矽或氮化矽層。該膜層可摻雜碳或摻雜氮。該薄介電層的厚度可介於約1埃()至約8埃。反應室的壓力約介於100毫托(mTorr)至8托,較佳介於2至8托。在沉積步驟120中,該薄介電層約沉積2-5秒,並且隨後於步驟130中利用電漿來處理該薄介電層。電漿處理步驟130可能包括使用一惰性氣體或一反應性氣體。隨後在厚度測定步驟140中分析或估計所沉積的膜層厚度。若所沉積的膜層厚度等於或大於指定的所欲厚度,則製程100終止於步驟160時。在該結束步驟160中,該基板接受額外的處理並從該反應室中移出。若所沉積的膜層厚度並不等於或不大於指定的所欲厚度,則於重複製程150的過程中,重複執行該沉積步驟120與電漿處理步驟130。厚度測定步驟140與重複製程150可重複執行多次直到獲得所欲的膜層厚度為止,例如可重複執行約1-6次。
第1圖是一個總括性的流程圖,以提供繪於第2、6與10-11圖中之各別製程的製程大綱。第2、6與10-11圖中與第1圖相似的製程步驟皆以相同的元件符號來表示。然而,也可以有些許的製程變化。
第2圖為沉積製程200之實施例的流程圖。如步驟202所示,將一其表面上具有至少一已形成特徵的基板放置於一反應室中。該特徵具有一頂面、一側面與一底面。如步驟204所示,一介電層沉積在該基板上。可利用CVD或PECVD來該介電層。該介電層可以是諸如氮化矽、氧化矽或氮氧化矽層等膜層。或者,該膜層可以是任何摻雜有碳或氮的上述膜層。通常,該介電層的沉積製程形成在該頂面的介電層厚度會比形成在底面與側面上的介電層厚度要厚。隨後,如步驟206所示般,利用由含氧氣體或含鹵素氣體所形成的電漿來蝕刻該介電層。該含鹵素氣體選自於由氟、氯、溴及其組合物所構成之群組中。可使用氧氣(O2 )或其他含氧氣氣體來供應一氧電漿。或可選擇參考第1圖所述的厚度測定步驟來分析或估計該介電層的厚度,雖然此步驟並未顯示於第2圖中。若蝕刻介電層的步驟206在該至少一已形成特徵上提供一所欲的介電層輪廓時,該製程方法終止於步驟208。所欲的輪廓可能一共形或實質共形的輪廓,其在該特徵的側面、頂面與底面上的厚度差異會比在沉積後且蝕刻前之介電層在該等面上的厚度差異要小。換句話說,也就是所欲的輪廓具有改善的階梯覆蓋性,即,該特徵不同表面間的膜層厚度差的百分比較小。若蝕刻介電層的步驟206無法在該至少一已形成特徵上提供一所欲的介電層輪廓時,則執行一重複步驟210。該重複步驟210包括沉積一額外量的該介電層並且隨後蝕刻該介電層的步驟。該重複步驟210可執行數次,舉例而言可重複執行1次至100次,例如介於1次至6次之間,以在該至少一已形成特徵上獲得所欲的介電層輪廓。
回到步驟206,可以在進行介電層沉積的同一個反應室中或是在不同反應室中蝕刻該介電層,而該不同的反應室與該沉積室可屬於同一個集成工具中的一部分,且該不同的反應室可透過該集成工具的傳送室而與該沉積室相連。含氧氣體或含鹵素氣體可獨立地引入該反應室中,或是合併一惰性氣體一起引入該反應室中,而惰性氣體可例如是氬氣或氦氣。可使用一原位生成或遠端生成的電漿來執行蝕刻步驟206。蝕刻步驟206的時間長度可至少為0.1秒,例如介於約0.1秒至約45秒之間,如介於約15秒至約45秒之間。可藉著調整含鹵素氣體的流速與暴露時間來設計該蝕刻輪廓(etch profile)以配合該沉積輪廓(deposition profile)。例如,在該特徵頂面上的蝕刻速率可能高於再該特徵側面或底面上的蝕刻速率。通常,頂面上的蝕刻速率比側面或底面上的蝕刻速率要高約10%。在某些範例中,可能需要約50%的蝕刻速率。本文中定義50%的蝕刻速率係指一能移除掉50%之沉積介電層厚度的蝕刻製程。此外,沉積步驟204也可以是一個雙階段沉積製程(a two part deposition),例如以具有第一功率與第一前驅物分壓的電漿執行兩秒,並且以具有第二功率與第二前驅物分壓的電漿執行額外兩秒。
在使用遠端生成電漿來執行蝕刻步驟206的實施例中,可藉著在一遠端電漿源中使氧氣或一含鹵素氣體暴露於微波能量下來產生電漿,其中該含鹵素氣體選自於由氟、氯、溴及其組合物所構成之群組中,並且該遠端電漿源連接至用來沉積介電層的反應室。例如,可利用能提供反應性氟物種的三氟化氮(NF3 )來產生該電漿。可以介於約10 sccm至約20 slm之間的流速將三氟化氮導入反應室中。三氟化氮也可與諸如氬氣或氦氣等稀釋氣體一同導入反應室中。氬氣與氦氣亦有助於維持反應室中的電漿。可以介於約100 sccm至約20 slm之間的流速將三氟化氮導入反應室中。蝕刻過程中的反應室壓力可介於約10毫托至約760托之間,並且反應室中的基板支撐件溫度可設定在介於約100℃至約650℃之間。
在使用原位(即在反應室中)生成的電漿來執行蝕刻步驟206的實施例中,可使用射頻功率來產生該電漿。射頻功率可具有一高頻率,例如介於約1百萬赫(MHz)至約13.56百萬赫之間,如介於約2百萬赫至約13.56百萬赫之間;可具有一介於約100仟赫至約1百萬赫之間的低頻率,例如約介於100仟赫至約400仟赫之間;或者可具有一混合頻率,其包含一介於1百萬赫至13.56百萬赫之間的頻率(例如約2百萬赫至約13.56百萬赫)以及一介於約100仟赫至約1百萬赫之間的低頻率(例如約100仟赫至約400仟赫)。作為蝕刻氣體並選自於氟、氯、溴及其組合物所構成之群組中的含鹵素氣體可以是三氟化氮,或是一含碳及氟的氣體,例如四氟化碳(CF4 )或八氟化二碳(C4 F8 )。可以介於約10 sccm至約20 slm的流速將氧或含鹵素氣體導入該反應室中。該氧或含鹵素氣體可與作為稀釋氣體的氬氣或氦氣一同導入該反應室中。氬氣與氦氣亦有助於維持反應室中的電漿。可以介於約100 sccm至約20 slm的流速將氬氣或氦氣導入該反應室中。蝕刻過程中的反應室壓力可能介於約10毫托至約760托之間,並且反應室中基板支撐件的溫度可設定在介於約100℃至約650℃之間。反應室中,一噴頭電極與一基板支撐件電極之間的間距可介於約100密耳(mil)至約3000密耳之間。可調整該間距來控制電漿的穩定性。
本發明的多個實施例包含該些具有單一沉積步驟204與單一蝕刻步驟206的製程方法,以及該些包含一重複步驟210的製程方法,其中該重複步驟210中包含多個沉積與蝕刻步驟。當一介電層,與該介電層在特徵頂面上之蝕刻速率相比,其在特徵側面上具有高蝕刻速率時,執行一包含單一沉積步驟204與單一蝕刻步驟206的製程方法。例如,該側面上的蝕刻速率可能至少約為該介電層從該頂面上蝕除之速率的10%。可提供在特徵側面上的離子轟擊低於在特徵頂面上之離子轟擊的介電沉積製程,能夠造成該特徵側面上之介電蝕刻速率高於該特徵底面或頂面上之介電蝕刻速率的結果。藉著使多個不同厚度之沉積介電層的蝕刻材料厚度保持固定,並進而改變該些進行蝕刻之介電層的百分比例,發現到對於該些厚度高達1000埃的介電層而言,該些包含單一沉積步驟與單一蝕刻步驟之製程方法的底部圖案負載效應係與沉積的介電層厚度無關聯。
當一介電層,與該介電層在特徵頂面上之蝕刻速率相比,其在特徵側面上具有低蝕刻速率時,可執行一包含多個沉積與蝕刻步驟的製程方法。例如,該側面上的蝕刻速率可能約低於該介電層從該頂面上蝕除之速率的10%。可藉著在蝕刻該介電層之前與之後,利用SEM或TEM斷面 來測定位在特徵底面、側面與頂面處的介電層厚度,並計算出單位蝕刻時間所移除的厚度,以測定出蝕刻速率。提高沉積與蝕刻的循環次數可改善圖案負載效應。
在一示範實施例中,可執行一包含兩次或三次沉積與蝕刻循環的製程方法來形成欲做為蝕刻終止襯層且特徵尺寸為90奈米或更小的介電層。每個循環可沉積厚度約介於300埃至約400埃之間的介電層,並且每個蝕刻循環可蝕除介於約100埃至200埃之間的介電層厚度。
本發明實施例的示範性測試結果顯示出,可控制蝕刻輪廓,使其配合提供在特徵頂面上之蝕刻速率高於在特徵底面與側面上之蝕刻速率的沉積輪廓。第3A圖是根據習知技術而形成在基板306之特徵304上的一介電層302之SEM示意圖。該介電層302具有不均勻的輪廓,其在特徵頂面308上的厚度大於在特徵側面310與底面312的厚度。第3B圖顯示根據本發明實施例而形成在特徵304上的一介電層320之SEM示意圖。在特徵304上,該介電層320的輪廓比該介電層302的輪廓更加均勻一致。
已形成之特徵斷面的掃描式電子顯微照片亦顯示出,以含有流量50sccm之NF3 、3公升(L)之氬氣、頻率350仟赫之100瓦(W)低頻射頻功率、1.5托之反應室壓力以及1000密耳之間隔距離的條件來執行NF3 電漿蝕刻持續45秒,能使氮化矽介電層的底部圖案負載效應(PLE)降低約30%(PLE從67%降低至41%),並且此蝕刻製程可用來調整其他介電膜層沉積製程的階梯覆蓋性。該蝕刻製程不會影 響膜應力。側壁負載效應則從46%降至33%,以及頂面負載效應則從10%降至3%。當藉由各部位(portion)上之膜層厚度之間的膜厚差異百分比,例如具有少量特徵之基板區域(疏離區)中一特徵的底面、頂面或側面上的膜層厚度與具有高特徵密度之基板區域(密集區)中一特徵相應部位上之膜層厚度間的差異百分比,來測量圖案負載效應時,較低圖案負載效應百分比係反應出基板表面具有較高的膜厚均勻度。
第4與5圖顯示出以50sccm之NF3 、3升(L)氬氣、100瓦且350仟赫的低頻射頻功率、1.5托之反應室壓力以及1000密耳之間距的條件對具有特徵疏離(iso)區與特徵密集區之基板進行NF3 蝕刻時,蝕刻時間長度(可用膜層蝕除厚度來表示)對於底面介電層厚度以及圖案負載效應的個別影響。可使用約15至45秒的蝕刻時間(etch period),其相當於約100埃至300埃的膜層蝕除厚度。使用較長的蝕刻時間,明顯地改善了該底面圖案負載效應,即約30%。
使用三氟化氮(NF3 )做為施用在氮化矽介電層上的含氟蝕刻氣體,來比較圖案負載效應及底面厚度與該蝕刻種類之間的關係。以一包含沉積厚度400埃之氮化矽介電層、將該氮化矽介電層蝕刻掉200埃的厚度以及隨後沉積450埃之氮化矽介電層之步驟的製程為例,比較沒有蝕刻、功率為100瓦的低頻射頻電漿蝕刻、功率為50瓦的高頻射頻電漿蝕刻以及遠端電漿源蝕刻對於該製程的影響。低頻射頻電漿蝕刻以及高頻射頻電漿蝕刻得到相同的圖案 負載效應結果,然而遠端電漿源蝕刻則造成更大的圖案負載效應並且造成超過20%的蝕刻速率不均勻性。雖然在遠端電漿蝕刻方法中的蝕刻輪廓更具等向性,然而當使用原位射頻方法中的鞘區電壓(sheath voltage)方向性地加速蝕刻物種朝向基板表時,相較於遠端電漿蝕刻方法而言,相信原位射頻電漿蝕刻方法能更有效地提供與沉積輪廓相似的蝕刻輪廓,也就是說,原位射頻電漿蝕刻方法在特徵頂面上的蝕刻速率較快且特徵側面上的蝕刻速率較慢。
雖然第2圖之實施例主要以氮化矽(SiN)層來敘述,然而第2圖之實施例也可用於他種介電層,例如氧化矽(SiO)、氮化矽(SiN)、碳氮化矽(SiCN)、碳氧化矽(SiOC)、碳氮氧化矽(SiOCN)、硼氮化矽(SiBN)、硼碳氮化矽(SiBCN)、碳化矽(SiC)、氮化硼(BN)或碳氮化硼(BCN)等膜層。對於含有碳的膜層,蝕刻步驟206包含一含氧氣體與一含鹵素氣體,該含氧氣體能提供用來蝕刻含碳層的反應性氧物種。含鹵素氣體及含氧氣體可同時使用或者先後使用。例如,可將膜層先暴露於含氧氣體所形成的電漿中,隨後在暴露至含鹵素氣體所形成的電漿中。
第6圖為沉積製程600之實施例的流程圖。沉積製程600的所有製程步驟可在同一個反應室中執行。製程600始於步驟610,步驟610包括將一其表面上具有至少一已形成特徵的基板(即,一已圖案化的基板)放置於一反應室中。該已形成特徵可以是任何一種已形成的特徵,例如介層孔、內連線或閘極堆疊層。
在前驅物與電漿步驟620的過程中,係於反應室中同時存在有電漿與含矽前驅物的情況下,使該基板基露至該含矽前驅物中,以執行介電層的沉積作用。該含矽前驅物可包括八甲基環四矽氧烷(octamethylcyclotetrasiloxane,OMCTS)、甲基二乙氧基矽烷(methyldiethoxysilane,MDEOS)、雙(第三丁基胺基)矽烷(bis(tertiary-butylamino)silane,BTBAS)、三-二甲基胺基矽烷(tridimethylaminosilane,TriDMAS)、三-二甲基胺基矽烷(trisdimethylaminosilane,TrisDMAS)、矽烷(silane)、二矽烷(disilane)、二氯矽烷(dichlorosilane)、三氯矽烷(trichlorosilane)、二溴矽烷(dibromosilane)、四氯化矽(silicon tetrachloride)、四溴化矽(silicon tetrabromide)或上述矽化物之組合物。在一態樣中,OMCTS與矽烷為較佳的含矽前驅物。以介於約50瓦至3000瓦之間的功率以及13.56百萬赫及/或350仟赫的頻率來提供該電漿。該些選用性氣體導入反應室的時間與含矽氣體的時間相同,並且該等選用性氣體包括氦、氮、氧、氧化亞氮(nitrous oxide,或稱一氧化二氮,俗稱笑氣)與氬氣。若需使用一額外氣體時,導入反應室中的額外氣體以氧氣及/或氦氣為佳。氦氣與其他惰性氣體可做為載氣。
在步驟620將電漿、前驅物以及選用性的數種額外氣體通入反應室之後,接著在氧清洗步驟630中將諸如氧氣或氧化亞氮等含氧氣體導入反應室中。氧清洗步驟630的執行方式係將含氧氣體通入反應室中持續一段時間並達 到一選定的分壓來清洗掉殘留的含矽前驅物以及該些選用性的額外氣體。接著,在氧電漿處理步驟640中,將一含氧氣體(例如氧氣或氧化亞氮)通入反應室中。以約50瓦至約3000瓦的功率來提供該電漿並且持續介於約0.1秒至約600秒之間的時間。
回到步驟620,可以介於約5sccm至約1000sccm的流速將含矽前驅物導入反應室中。可以介於約100sccm至約20000sccm的流速將一選用性載氣,例如氦,導入反應室中。當將含矽前驅物通入反應室中以沉積膜層時,導入反應室中的含矽前驅物(如八甲基環四矽氧烷)流速比上載氣(如氦)流速之比例約為1:1或是更高,例如介於約1:1至約1:100之間。反應室壓力可大於約5毫托,例如介於約1.8托至約10托之間,以及反應室中的基板支撐件溫度可介於約125℃至約580℃之間。較佳者,該溫度低於約500℃。該含矽前驅物可通入反應室中持續一段足以沉積出厚度介於約5埃至約2000埃間之膜層的時間。例如,該含矽前驅物可通入反應室中並持續約0.1秒至約120秒之間的時間。
可利用功率介於約50瓦至約3000瓦間以及頻率約為13.56百萬赫或350仟赫的射頻功率來提供在步驟620中的電漿。該射頻功率可施加於反應室的一噴頭(即,氣體分配組件)以及/或基板支撐件。該噴頭與基板支撐件之間的間距大於約230密耳,例如約介於350密耳至約800密耳之間。
隨後,終止通入反應室中的含矽前驅物流以及射頻功率,並且在步驟630中導入一含氧氣體以清除掉任何殘留在反應室中的含氣前驅物,該含氧氣體例如氧氣、氧化亞氮或其組合物。該含氧氣體可以介於100sccm至約20000sccm的流速通入反應室中。並且該含氧氣體可持續通入反應室中一段時間,例如介於0.1秒至60秒之間。當含氧氣體通入反應室中時,反應室壓力可介於約5毫托至約10托之間,以及反應室中基板支撐件的溫度可介於約125℃至約580℃之間。
待清洗過反應室之後,如步驟640所述般,在反應室中執行氧電漿處理,以處理該含矽前驅物沉積在基板上的膜層。可以介於100sccm至20000sccm之間的流速將含氧氣體導入反應室中。含氧氣體可持續通入反應室中一段時間,例如介於約0.1秒至約120秒之間。可在反應室中施加介於約50瓦至3000瓦以及約13.56百萬赫及/或350仟赫的射頻功率來提供該氧氣電漿。當含氧氣體流入反應室時,反應室壓力可介於5毫托至約10托之間,且反應室中的基板支撐件溫度可介於約125℃至約580℃之間。
可藉著中斷RF功率以及通入反應室中的含氧氣體流來停止氧電漿處理。可選擇性地,於厚度測定步驟650中分析或估計已沉積之介電層的厚度。若所沉積之膜層或多層薄膜的厚度等於或大於一所欲的指定厚度時,則製程600完成並止於該結束步驟660。在結束步驟660中,基板接受額外的處理並從反應室中移出。若厚度不等於或不大 於所欲的指定厚度時,則在重複製程655中,重複執行沉積步驟620與電漿處理步驟630。可令該厚度測定步驟650與該重複製程655反覆執行數次,直到獲得所欲的膜層厚度為止,例如可重複執行約1次至6次。
若膜層厚度不等於或不大於所欲的指定厚度,則繼續將含矽前驅物氣流通入反應室中以沉積一額外量的介電層。隨後,清洗該反應室並執行如上所述的氧電漿處理。可執行多次的沉積、清洗以及電漿處理循環,直到獲得所欲的介電層厚度為止。
使用OMCTS作為含矽前驅物與氦氣來執行類似於第6圖實施例的實驗性製程測試。將膜層沉積速率以及所形成之膜層中的甲基:氧基的比例對電漿功率做圖。當使用0至400瓦的RF功率進行實驗,沉積速率在RF功率約300瓦的時候達到穩定期(plateau),以及該甲基:氧基的比例則在RF功率約400瓦的時候達到最低。
測試氦氣與OMCTS的數種組合,以決定出其用來沉積介電層的最佳比例。當做為額外氣體的氦氣是做為含矽前驅物之OMCTS的兩倍時,會得到具有最大厚度的膜層。此外,使用OMCTS所沉積之膜層、使用OMCTS與氧氣電漿在90毫托下所沉積之膜層、以及使用OMCTS和氧氣電漿在2托下所沉積之膜層的掃描式電子顯微鏡照片顯示出,在三種膜層之中,以利用OMCTS和氧氣電漿在2托下所沉積的膜層能提供最佳的圖案負載效應與階梯覆蓋效果。
比較氧化亞氮與氧氣於氧電漿處理步驟640中的使用效果。利用氧化亞氮電漿所沉積之膜層以及利用氧化亞氮電漿所沉積之膜層的掃描式電子顯微鏡照面顯示出,該兩種膜層之中,使用氧氣電漿所沉積出來的膜層具有較佳圖案負載效應與階梯覆蓋效果。
在一態樣中,第6圖的實施例是一種脈衝膜層沉積製程(PLD),也就是數次的含矽前驅物脈衝之間穿插以氧電漿處理,以提供連續數層的沉積薄膜,而這些薄膜形成一完整的膜層。第7圖顯示在執行多次上述的沉積與電漿循環的介電層沉積過程中,膜層厚度對沉積時間或循環次數做圖的圖形。圖中標示出執行電漿處理的時間點。第7圖顯示出一不含電漿處理的類似製程,該不含電漿處理的製程中具有數個沉積速率明顯下降的時間區段,同時本發明所提供的製程則沒有會出現此種沉積速率明顯下降的時間區段。第8圖顯示,該不含電漿處理之類似製程中每一個有電漿存在下將含矽前驅物(OMCTS)通入反應室之時間區段內(第8圖中的電漿浸潤時間,plasma soak time)所沉積的膜層厚度,會小於根據本發明實施例之具有電漿處理的製程中每一個有電漿存在下將含矽前驅物通入反應室之時間區段內所沉積的膜層厚度。並注意到根據本發明製程所得到的該沉積速率比原子層沉積(ALD)製程的沉積速率要高出將近10倍。此外,本文中所敘述的製程方法可在傳統化學氣相沉積反應室中執行,例如PRODUCER PECVDTM 反應室。
曾經觀察到沉積膜層中出現甲基(-CH3 )的話可能會阻礙進一步(後續)的沉積作用,而氧電漿處理被認為能夠藉著移除留在沉積膜層中的甲基來提高沉積速率。如果沉積膜層中的甲基基團並不是很多的話,那麼氧電漿處理能將甲基取代成羥基(-OH),羥基可在另一層含氣前驅物的黏附過程中作為成核位置(nucleation sites)。第9圖顯示在由八甲基環四矽氧烷(OMCTS)所沉積之膜層上執行一氧電漿處理的作用效果。為了圖示簡單清楚,圖中僅顯示該膜層中的一個OMCTS分子。在第9圖的步驟902中,當有電漿存在時,OMCTS會與含有Si-OH鍵的基板反應。電漿會產生出OMCTS自由基。隨後如步驟904所示,OMCTS自由基連接至基板的羥基。在步驟906中,含有氧氣的氧電漿處理將已沉積之OMCTS層中至少一些的甲基替換成羥基。
發現到當使用一自我飽和前驅物(self-saturating precursor)作為沉積膜層的前驅物,並使用如上所述的射頻功率大小、間距、壓力以及流速比例時,能夠可靠地沉積出厚度約介於3埃至25埃之間的均勻介電薄層。利用本文中所提供的製程條件可得到在300毫米之單一基板內厚度變化幅度約1埃的膜層。如本文中所定義者,「自我飽和前驅物(self-saturating precursor)」係指一種能夠在基板上沉積出一薄層的前驅物,例如可在基板上沉積出一分子層者。該薄介電層的存在會阻礙來自該前驅物之介電材料在沉積該薄層之製程條件下形成額外膜層的進一步沉積作用。OMCTS是較佳的自我飽和前驅物,因為OMCTS含有 大量的甲基而使其能產生膜層的自我飽和沉積作用。換句話說,由於下方基板的表面能盡快地覆滿OMCTS分子,而已沉積之膜層表面上的Si-CH3 鍵會實質阻礙進一步的沉積作用,直到藉由上述氧電漿處理來移除部份的甲基為止,從而能夠由OMCTS沉積出一第一共形膜層。因此,能夠良好地控制著每層OMCTS分子層的沉積作用,從而提高最終膜層的階梯覆蓋效果。
由掃描式電子顯微鏡的影像可證實,相較於利用傳統電漿增強化學氣相沉積製程所沉積出的膜層而言,根據第6-9圖的製程方法能提供改善的階梯覆蓋與較低的圖案負載效應。
測量多個具有高特徵密度(密集區)與低特徵密度區域(疏離區)之已圖案化基板中位在特徵側面、底面與頂面上根據本發明實施例所沉積之氧化物層的沉積情形。在密集區中達到75%的側面/頂面覆蓋(sidewall/top coverage),而疏離區中達到80%的側面/頂面覆蓋。在密集區中達到85%的底面/頂面覆蓋(bottom/top coverage),以及在疏離區中達到95%的底面/頂面覆蓋。特徵頂面處所觀察到的圖案負載效應(PLE)為0%,以及在特徵側面與底面處所觀察到的圖案負載效應僅有10%。在一範例中,一氧化層沉積在深寬比為3.5之特徵中的頂面厚度為420埃。該膜層在側面上的厚度為275埃,以及其在底面上的厚度為345埃。因此,側面/頂面的階梯覆蓋為66%,底面/頂面的階梯覆蓋為83%,以及側面/底面的階梯覆蓋為80%。在另一範例 中,一低介電常數的碳摻雜氧化層沉積在深寬比為3.5之特徵中的頂面厚度為340埃。該層在側面上的厚度為125埃,以及該層在底面上的厚度為210埃。因此,側面/頂面的階梯覆蓋為35%,底面/頂面的階梯覆蓋為60%,以及側面/底面的階梯覆蓋為58%。
雖然主要是以使用OMCTS做為含矽前驅物來沉積氧化矽膜層或摻雜碳的氧化矽膜層為範例來討論上述實施例與結果,然而亦可使用其他的含矽前驅物。也可使用其他包含矽-氧(Si-O)或矽-氮(Si-N)骨架以及一或多個鍵結於矽原子上之烷基的含矽前驅物。此外,可使用其他的電漿處理來形成他種膜層。例如,可如下第10與11圖所述般,使用含矽前驅物來沉積一膜層並以一氮氣電漿來處理該膜層,已形成一共形的氮化矽層(SiN)。
第10圖為沉積製程1000之實施例的流程圖。起始步驟610、膜厚測定步驟650、重複步驟655以及結束步驟660係如上第6圖所述般。在沉積步驟1010中,將一含矽前驅物導入該反應室中。含矽前驅物可包含八甲基環四矽氧烷(octamethylcyclotetrasiloxane,OMCTS)、甲基二乙氧基矽烷(methyldiethoxysilane,MDEOS)、雙(第三丁基胺基)矽烷(bis(tertiary-butylamino)silane,BTBAS)、三(二甲基胺基矽烷)(tridimethylaminosilane,TriDMAS),三-二甲基胺基矽烷(trisdimethylaminosilane,TrisDMAS)、矽烷(silane)、二矽烷(disilane)、二氯矽烷(dichlorosilane)、三氯矽烷(trichlorosilane)、二溴矽烷(dibromosilane)、四氯 化矽(silicon tetrachloride)、四溴化矽(silicon tetrabromide)或上述含矽化合物之組合物。矽烷為用於沉積製程1000中的較佳前驅物。沉積步驟1010可執行約2至5秒。接著在步驟1020中,將氮氣導入反應室中以清洗反應室。隨後,在步驟1030中,使用氨氣用以在該反應室中提供電漿。接續進行步驟1040,執行另一次氮氣清洗步驟。從步驟1010至650的一次循環時間約為每循環60秒,並且沉機速率約為每循環2埃。製程1000提供共形覆蓋,並且清洗效率(即,在氨氣電漿之前,清洗步驟移除含氣前驅物的效率)能控制共形覆蓋性。
第11圖為沉積製程1100之另一實施例的流程圖。其起始步驟610、膜厚測定步驟650、重複步驟655以及結束步驟660係如上所述。在沉積步驟1110中,將一含矽前驅物導入該反應室中。在含矽前驅物步驟1110之後,可執行一選用性的氮氣清洗步驟(未示出)。接著在電漿步驟1120中,將一含氮前驅物的電漿導入反應室中。含氮前驅物可包含氮、氨或氧化亞氮。氨是較佳的含氮前驅物。以沉積製程1100來說,從步驟1110至步驟650的一次循環時間約為30秒。沉積速率約為每循環3.5埃。
根據本發明實施例所提供的共形膜層可做為半導體裝置中的不同膜層。舉例而言,該等膜層可作為該些先沉積而後蝕柯以在電晶體閘極堆疊層周圍形成間隙幣的膜層,或者該等膜層可做為阻障層。
上述製程的優點是該些製程可生產出具有較佳階梯覆 蓋性與較低圖案負載效應的膜層。並且可在同一個反應室中執行多個製程步驟循環,從而比該些需要多個反應室的製程需要更短的製程時間。並且總熱預算與個別基板製程溫度也比該些不使用電漿之製程的熱預算及溫度要低。
雖然上述內容已說明本發明多個實施例,然而在不偏離本發明基本範圍的情況下,仍可設計出其他與更盡一部的本發明實施例,並且本發明的範圍當由後附申請專利範圍所界定。
100‧‧‧製程
110‧‧‧開始
120‧‧‧沉積介電薄層
130‧‧‧電漿處理
140‧‧‧測定厚度是否大於等於x
150‧‧‧重複製程
160‧‧‧結束
200‧‧‧製程
202‧‧‧將其表面上具有至少一已形成特徵的基板放置於反應室中
204‧‧‧沉積一介電層在該基板上
206‧‧‧以一由氧氣或含鹵素氣體形成的電漿來蝕刻該介電層
208‧‧‧結束
210‧‧‧重複
302‧‧‧介電層
304‧‧‧特徵
306‧‧‧基板
308‧‧‧頂面
310‧‧‧側面
312‧‧‧底面
320‧‧‧介電層
600‧‧‧製程
610‧‧‧開始
620‧‧‧含矽前驅物與電漿
630‧‧‧氧氣清洗
640‧‧‧氧電漿處理
650‧‧‧測定厚度是否大於等於x
655‧‧‧重複製程
660‧‧‧結束
1000‧‧‧製程
1010‧‧‧含矽前驅物
1020‧‧‧氮氣清洗
1030‧‧‧氨氣電漿
1040‧‧‧氮氣清洗
1100‧‧‧製程
1110‧‧‧含矽前驅物
1120‧‧‧具有含氮前驅物的電漿
為了能夠詳細了解本發明上述特徵,可參照部分繪示於附圖中的實施例來閱讀整理於上方的本發明進一步敘述內容。需明白的是,所附圖式所繪示的僅是本發明的代表性實施例,因此不應用來限定本發明範圍,本發明亦允許其他的等效實施例。
第1圖為一沉積製程實施例的流程圖;第2圖為沉積製程又一實施例的流程圖;第3A圖為根據習知技術沉積在一基板特徵上的介電層輪廓示意圖;第3B圖為根據本發明實施例而沉積在一基板特徵上的介電層輪廓示意圖;第4圖係一圖表,其顯示根據本發明實施例在不同膜層蝕除厚度下,在基板特徵密集區與疏離區中所獲得的特徵底部厚度; 第5圖係一圖表,其顯示根據本發明實施例在不同膜層蝕除厚度下,所獲得的底部圖案負載效應(pattern loading effect);第6圖顯示一沉積製程實施例的流程圖;第7圖為一圖表,其顯示根據本發明實施例執行一沉積製程過程中的膜層厚度;第8圖顯示根據本發明實施例在一基板上沉積一膜層時,該膜層厚度對該基板暴露在前驅物中的時間做圖的圖表;第9圖為根據本發明實施例在基板上的膜層沉積反應示意圖;第10圖顯示沉積製程另一實施例的流程圖;第11圖顯示沉積製程又一實施例的流程圖。
100...製程
110...開始
120...沉積薄介電層
130...電漿處理
140...判斷厚度是否達到要求
150...重複製程
160...結束

Claims (36)

  1. 一種於一反應室中在一已圖案化基板上形成一介電層的方法,該方法包括以下步驟:使該已圖案化基板在一電漿的存在下暴露於一含矽前驅物,以沉積一含矽層在該已圖案化基板上,其中該含矽前驅物包含八甲基環四矽氧烷(octamethylcyclotetrasiloxane,OMCTS);將該含矽前驅物從該反應室清洗掉;使用來自一含氧氣體的電漿來處理該含矽層;以及重覆執行以下步驟直到獲得該介電層之所欲厚度為止,該等步驟為:前述之使該已圖案化基板在該電漿的存在下暴露於該含矽前驅物以沉積該含矽層、前述之將該含矽前驅物從該反應室清洗掉、以及前述之處理該含矽層。
  2. 如申請專利範圍第1項所述之方法,其中該介電層是一氧化矽層或一摻雜碳的氧化矽層。
  3. 如申請專利範圍第1項所述之方法,其中該含矽前驅物包括一或多個鍵結於矽上的烷基。
  4. 如申請專利範圍第1項所述之方法,其中該含氧氣體包括氧氣、氧化亞氮(nitrous oxide)或其組合物。
  5. 如申請專利範圍第1項所述之方法,其中該含氧氣體包含氧氣。
  6. 如申請專利範圍第1項所述之方法,其中該使用來自一含氧氣體的電漿來處理該含矽層的步驟包括從該含矽層移除多個甲基。
  7. 如申請專利範圍第6項所述之方法,其中該使用來自一含氧氣體的電漿來處理該含矽層的步驟更包括增加多個羥基至該含矽層。
  8. 如申請專利範圍第1項所述之方法,其中該含矽層是使用來自一含氧氣體的電漿在一RF功率下處理一段時間,該RF功率介於約50W至約3000W之間,該段時間介於約0.1秒至約120秒之間。
  9. 如申請專利範圍第1項所述之方法,其中該介電層是一阻障層。
  10. 如申請專利範圍第1項所述之方法,更包括在獲得該介電層之所欲厚度之後,蝕刻該介電層以在一閘極堆疊周圍形成一間隙壁。
  11. 如申請專利範圍第1項所述之方法,其中以電漿處理該含矽層包含施加RF功率。
  12. 如申請專利範圍第11項所述之方法,更包含在重覆執行前述之使該已圖案化基板暴露以沉積該含矽層之步驟以及前述之處理該含矽層之步驟前,透過中斷該RF功率以及該含氧氣體,而使前述之以電漿處理該含矽層之步驟終止。
  13. 一種於一反應室中在一已圖案化基板上形成一介電層的方法,該方法包括以下步驟:使該已圖案化基板在一電漿的存在下暴露至八甲基環四矽氧烷,以沉積一含矽層在該已圖案化基板上;將該八甲基環四矽氧烷從該反應室清洗掉;使用來自氧氣的電漿來處理該含矽層;以及重覆執行下述步驟直到獲得該介電層之所欲厚度為止,該等步驟為:前述之使該已圖案化基板在該電漿的存在下暴露於該八甲基環四矽氧烷以沉積該含矽層、前述之將該八甲基環四矽氧烷從該反應室清洗掉、以及前述之處理該含矽層。
  14. 如申請專利範圍第13項所述之方法,其中該介電層是一氧化矽層或一摻雜碳的氧化矽層。
  15. 如申請專利範圍第13項所述之方法,其中該使用來自氧氣的電漿來處理該含矽層的步驟包括從該含矽層移除多個甲基,且其中該使用來自氧氣的電漿來處理該含矽層的步驟更包括增加多個羥基至該含矽層。
  16. 一種於一反應室中在一已圖案化基板上形成一介電層的方法,該方法包括以下步驟:使該已圖案化基板於一電漿存在下暴露於一含矽前驅物,以沉積一含矽層在該已圖案化基板上,其中該含矽前驅物包含八甲基環四矽氧烷;將該含矽前驅物從該反應室清洗掉;使用來自一含氮氣體的電漿來處理該含矽層;以及重覆執行以下步驟,直到獲得該介電層的所欲厚度為止,該等步驟為:前述之使該已圖案化基板在該電漿的存在下暴露於該含矽前驅物以沉積該含矽層、前述之將該含矽前驅物從該反應室清洗掉、以及前述之處理該含矽層。
  17. 如申請專利範圍第16項所述之方法,其中該介電層包含矽與氮。
  18. 一種控制一基板上之一層的階梯覆蓋與圖案負載的方法,該方法包括以下步驟: 將一基板放置於一反應室中,遍及該基板之一表面上有至少一個已形成的特徵,該特徵包含一頂面、一側壁面與一底面;沉積一介電層於該基板上達一厚度,使得在該頂面上的厚度大於該底面與側壁面上的厚度;以及使用來自氧氣或一含鹵素氣體的電漿來蝕刻該介電層,且在該頂面上之蝕刻速率高於該底面與該側壁面上的蝕刻速率,以在該至少一個已形成特徵上提供該介電層的所欲輪廓,其中該含鹵素氣體選自於由氟、氯、溴及其組合物所構成之群組中。
  19. 如申請專利範圍第18項所述之方法,其中該沉積一介電層的步驟以及該使用一電漿來蝕刻該介電層的步驟係在同一反應室中進行。
  20. 如申請專利範圍第18項所述之方法,其中位在該頂面上之該介電層的蝕刻速率比位在該底面或該側壁面上之該介電層的蝕刻速率要高出至少10%。
  21. 如申請專利範圍第18項所述之方法,其中該電漿是在該反應室中由RF功率所產生。
  22. 如申請專利範圍第21項所述之方法,其中該RF功率 具有介於約100kHz至約1MHz之間的單頻。
  23. 如申請專利範圍第21項所述之方法,其中該RF功率具有介於約1MHz至約13.56MHz之間的單頻。
  24. 如申請專利範圍第21項所述之方法,其中該RF功率包含介於約100kHz至約1MHz之間的一第一頻率以及介於約1MHz至約13.56MHz之間的一第二頻率。
  25. 如申請專利範圍第18項所述之方法,其中該電漿是藉由一遠端電漿來源所產生。
  26. 如申請專利範圍第18項所述之方法,其中該沉積一介電層的步驟以及該蝕刻該介電層的步驟係在藉由一傳送室而相連的不同反應室中進行。
  27. 一種控制一基板上之一層的階梯覆蓋與圖案負載的方法,該方法包括以下步驟:將一基板放置於一反應室中,遍及該基板之一表面上有至少一個已形成的特徵,其中該特徵包含一頂面、一側壁面與一底面;沉積一介電層於該基板上,其中該介電層被沉積達一厚度,使得在該頂面上的厚度大於該底面與側壁面上的厚 度;使用來自氧氣或一含鹵素氣體的電漿來蝕刻該介電層,且在該頂面上之蝕刻速率高於該底面與該側壁面上的蝕刻速率,其中該含鹵素氣體選自於由氟、氯、溴及其組合物所構成之群組中;以及重覆執行前述之沉積一介電層之步驟以及前述之以一電漿蝕刻該介電層之步驟,以在該至少一個已形成的特徵上提供該介電層的所欲輪廓。
  28. 如申請專利範圍第27項所述之方法,其中該沉積一介電層的步驟以及該蝕刻該介電層的步驟是在相同的反應室中進行,或者是在藉由一傳送室而相連的不同反應室中進行。
  29. 如申請專利範圍第27項所述之方法,其中位在該頂面上之該介電層的蝕刻速率比位在該底面或該側壁面上之該介電層的蝕刻速率要高出至少10%。
  30. 如申請專利範圍第27項所述之方法,其中以1次至100次之間的次數重覆執行前述之沉積一介電層之步驟以及前述之以一電漿蝕刻該介電層之步驟。
  31. 如申請專利範圍第27項所述之方法,其中該介電層是 SiO、SiN、SiCN、SiOC、SiOCN、SiBN、SiBCN、SiC、BN、或BCN層。
  32. 如申請專利範圍第27項所述之方法,其中前述之蝕刻該介電層之步驟發生達至少約0.1秒。
  33. 一種控制一基板上之一層的階梯覆蓋與圖案負載的方法,該方法包括以下步驟:將一基板放置於一反應室中,遍及該基板之一表面上有至少一個已形成的特徵,其中該特徵包含一頂面、一側壁面與一底面;沉積一氮化矽介電層於該基板上,其中該氮化矽介電層被沉積達一厚度,使得在該頂面上的厚度大於該底面與側壁面上的厚度;以及使用一NF3 電漿來蝕刻該氮化矽介電層,且在該頂面上之蝕刻速率高於該底面與該側壁面上的蝕刻速率,以在該至少一個已形成特徵上提供該氮化矽介電層的所欲輪廓。
  34. 如申請專利範圍第33項所述之方法,其中位在該頂面上之該介電層的蝕刻速率比位在該底面或該側壁面上之該介電層的蝕刻速率要高出至少10%。
  35. 如申請專利範圍第33項所述之方法,其中該NF3 電漿是在該反應室中由RF功率產生。
  36. 如申請專利範圍第33項所述之方法,其中前述之蝕刻該氮化矽介電層之步驟發生達約0.1秒至約45秒。
TW096111415A 2006-03-31 2007-03-30 用以改良介電薄膜之階梯覆蓋與圖案負載的方法 TWI424498B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US78827906P 2006-03-31 2006-03-31
US79025406P 2006-04-07 2006-04-07
US11/668,911 US7601651B2 (en) 2006-03-31 2007-01-30 Method to improve the step coverage and pattern loading for dielectric films
US11/693,005 US7780865B2 (en) 2006-03-31 2007-03-29 Method to improve the step coverage and pattern loading for dielectric films

Publications (2)

Publication Number Publication Date
TW200816310A TW200816310A (en) 2008-04-01
TWI424498B true TWI424498B (zh) 2014-01-21

Family

ID=38581763

Family Applications (2)

Application Number Title Priority Date Filing Date
TW096111415A TWI424498B (zh) 2006-03-31 2007-03-30 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
TW102146174A TW201415551A (zh) 2006-03-31 2007-03-30 用以改良介電薄膜之階梯覆蓋與圖案負載的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW102146174A TW201415551A (zh) 2006-03-31 2007-03-30 用以改良介電薄膜之階梯覆蓋與圖案負載的方法

Country Status (4)

Country Link
KR (1) KR20080106984A (zh)
CN (1) CN101416293B (zh)
TW (2) TWI424498B (zh)
WO (1) WO2007118026A2 (zh)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8574447B2 (en) * 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
SG11201400633RA (en) * 2011-09-23 2014-08-28 Novellus Systems Inc Plasma activated conformal dielectric film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
CN102832119B (zh) * 2012-07-03 2015-12-16 上海华力微电子有限公司 低温二氧化硅薄膜的形成方法
CN102768955A (zh) * 2012-07-03 2012-11-07 上海华力微电子有限公司 一种形成低负载效应薄膜的方法
CN102820220A (zh) * 2012-07-03 2012-12-12 上海华力微电子有限公司 低温二氧化硅薄膜的形成方法
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20140131308A1 (en) * 2012-11-14 2014-05-15 Roman Gouk Pattern fortification for hdd bit patterned media pattern transfer
CN103390703B (zh) * 2013-08-05 2016-08-17 聚灿光电科技股份有限公司 低损伤、高致密性膜的制备方法以及具有该膜的led芯片
CN104752315B (zh) * 2013-12-25 2018-03-06 旺宏电子股份有限公司 半导体元件及其制造方法
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
CN105322013B (zh) 2014-07-17 2020-04-07 联华电子股份有限公司 半导体元件及其形成方法
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
KR20160061129A (ko) * 2014-11-21 2016-05-31 주식회사 원익아이피에스 적층막 제조방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102362534B1 (ko) 2014-12-08 2022-02-15 주성엔지니어링(주) 기판 처리방법
US9508976B2 (en) 2015-01-09 2016-11-29 Applied Materials, Inc. Battery separator with dielectric coating
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR20230149342A (ko) * 2015-06-05 2023-10-26 어플라이드 머티어리얼스, 인코포레이티드 유전체 코팅을 갖는 배터리 분리기
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US20170178899A1 (en) * 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
CN107437503A (zh) * 2016-05-26 2017-12-05 灿美工程股份有限公司 基板处理方法
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
JP6817168B2 (ja) 2017-08-25 2021-01-20 東京エレクトロン株式会社 被処理体を処理する方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10910216B2 (en) 2017-11-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric and processes for forming same
KR20210011436A (ko) * 2018-06-19 2021-02-01 어플라이드 머티어리얼스, 인코포레이티드 펄스형 플라즈마 증착 에칭 스텝 커버리지 개선
CN110896050A (zh) * 2018-09-12 2020-03-20 长鑫存储技术有限公司 介电薄膜的形成方法
KR102513404B1 (ko) * 2018-09-21 2023-03-27 주식회사 원익아이피에스 SiCN막의 형성 방법
TW202229613A (zh) * 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5866947A (en) * 1996-06-10 1999-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Post tungsten etch bank anneal, to improve aluminum step coverage
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
TW408369B (en) * 1998-02-11 2000-10-11 Applied Materials Inc Plasma processes for depositing low dielectric constant films
TW447077B (en) * 2000-07-17 2001-07-21 Taiwan Semiconductor Mfg Method for improving the characteristics of dielectric layer with a low dielectric constant formed by chemical vapor deposition
TW460408B (en) * 1999-04-20 2001-10-21 Applied Materials Inc Remote plasma nitridation of silicon
TW497140B (en) * 2001-10-09 2002-08-01 Taiwan Semiconductor Mfg Process system for plasma etching and chemical vapor deposition
TW506055B (en) * 2000-05-12 2002-10-11 Sharp Kabushikl Kaisha Method of making low-k carbon doped silicon oxide
US20030032306A1 (en) * 2001-08-10 2003-02-13 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
TW521373B (en) * 1999-08-10 2003-02-21 Hitachi Ltd Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
TW563202B (en) * 2000-10-25 2003-11-21 Ibm An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and an electronic device containing the same
TW570997B (en) * 1999-10-07 2004-01-11 Advanced Tech Materials Source reagent composition and method for chemical vapor deposition formation of Zr/Hf silicate gate dielectric thin films
TW575939B (en) * 2001-11-16 2004-02-11 Trikon Holdings Ltd Forming low k dielectric layers
TW584672B (en) * 1998-09-30 2004-04-21 Lam Res Corp Method of plasma etching dielectric materials
TW200507103A (en) * 2003-01-17 2005-02-16 Freescale Semiconductor Inc Semiconductor fabrication method for making small features

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6800566B2 (en) * 2002-02-21 2004-10-05 Taiwan Semiconductor Manufacturing Company Adjustment of N and K values in a DARC film
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7037855B2 (en) * 2004-08-31 2006-05-02 Asm Japan K.K. Method of forming fluorine-doped low-dielectric-constant insulating film

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5866947A (en) * 1996-06-10 1999-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Post tungsten etch bank anneal, to improve aluminum step coverage
TW408369B (en) * 1998-02-11 2000-10-11 Applied Materials Inc Plasma processes for depositing low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
TW584672B (en) * 1998-09-30 2004-04-21 Lam Res Corp Method of plasma etching dielectric materials
TW460408B (en) * 1999-04-20 2001-10-21 Applied Materials Inc Remote plasma nitridation of silicon
TW521373B (en) * 1999-08-10 2003-02-21 Hitachi Ltd Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
TW570997B (en) * 1999-10-07 2004-01-11 Advanced Tech Materials Source reagent composition and method for chemical vapor deposition formation of Zr/Hf silicate gate dielectric thin films
TW506055B (en) * 2000-05-12 2002-10-11 Sharp Kabushikl Kaisha Method of making low-k carbon doped silicon oxide
TW447077B (en) * 2000-07-17 2001-07-21 Taiwan Semiconductor Mfg Method for improving the characteristics of dielectric layer with a low dielectric constant formed by chemical vapor deposition
TW563202B (en) * 2000-10-25 2003-11-21 Ibm An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and an electronic device containing the same
US20030032306A1 (en) * 2001-08-10 2003-02-13 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
TW497140B (en) * 2001-10-09 2002-08-01 Taiwan Semiconductor Mfg Process system for plasma etching and chemical vapor deposition
TW575939B (en) * 2001-11-16 2004-02-11 Trikon Holdings Ltd Forming low k dielectric layers
TW200507103A (en) * 2003-01-17 2005-02-16 Freescale Semiconductor Inc Semiconductor fabrication method for making small features

Also Published As

Publication number Publication date
CN101416293B (zh) 2011-04-20
TW201415551A (zh) 2014-04-16
WO2007118026A2 (en) 2007-10-18
WO2007118026A3 (en) 2008-01-10
CN101416293A (zh) 2009-04-22
KR20080106984A (ko) 2008-12-09
TW200816310A (en) 2008-04-01

Similar Documents

Publication Publication Date Title
TWI424498B (zh) 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
TWI804706B (zh) 氧化矽之拓撲選擇性膜形成之方法
US7780865B2 (en) Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) Method to improve the step coverage and pattern loading for dielectric films
US9023737B2 (en) Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
TWI541898B (zh) 用於半導體整合之非敏性乾式移除製程
US8445075B2 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US6991959B2 (en) Method of manufacturing silicon carbide film
JP2020065087A (ja) SiOCN薄膜の形成
US8492170B2 (en) UV assisted silylation for recovery and pore sealing of damaged low K films
KR100660890B1 (ko) Ald를 이용한 이산화실리콘막 형성 방법
TWI505364B (zh) 硬遮罩材料
TWI673826B (zh) 可流動膜固化穿透深度之改進以及應力調諧
KR20080092448A (ko) 유전체막들에 대한 원격 플라즈마 소스 세정을 강화시키는 방법
JP2014509081A (ja) 平坦化後の高密度化
JP6735408B2 (ja) 酸ハロゲン化物を用いた原子層エッチング
TWI392024B (zh) 將溼蝕刻之底切最小化以及提供超低介電常數(k<2.5)之介電質封孔之方法
TWI773446B (zh) 氮化矽膜之多層沉積及處理
JP2024063001A (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
TWI716818B (zh) 形成氣隙的系統及方法
JP5004161B2 (ja) 膜形成材料および膜形成方法
JP2018190986A (ja) トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法
TW202333223A (zh) 用於氧化鎢移除之氟化鎢浸泡及處理
JP2006080128A (ja) 半導体装置の製造方法