KR20080092448A - 유전체막들에 대한 원격 플라즈마 소스 세정을 강화시키는 방법 - Google Patents

유전체막들에 대한 원격 플라즈마 소스 세정을 강화시키는 방법 Download PDF

Info

Publication number
KR20080092448A
KR20080092448A KR1020087019985A KR20087019985A KR20080092448A KR 20080092448 A KR20080092448 A KR 20080092448A KR 1020087019985 A KR1020087019985 A KR 1020087019985A KR 20087019985 A KR20087019985 A KR 20087019985A KR 20080092448 A KR20080092448 A KR 20080092448A
Authority
KR
South Korea
Prior art keywords
species
reactive
processing chamber
chamber
oxygen
Prior art date
Application number
KR1020087019985A
Other languages
English (en)
Inventor
토마스 노왁
강섭 임
썸-이 베티 탕
광덕 더글라스 이
부 응옥 트란 응웬
데니스 싱글턴
마틴 제이 시몬스
카르딕 자나키라만
가네쉬 바라수브라마니안
모하메드 아유브
웬디 에이치. 예
알렌산드로스 티. 데모스
히켐 엠'사드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080092448A publication Critical patent/KR20080092448A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/08Cleaning containers, e.g. tanks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

비정질 탄소막들, 실리콘 및 탄소를 포함하는 배리어막들, 및 실리콘, 산소 및 탄소를 포함하는 낮은 유전상수 막들을 처리하는데 이용되는 반도체 프로세싱 챔버들을 세정하는 방법들이 제공된다. 상기 방법들은 챔버에서 RF 전력 없이 프로세싱 챔버의 내부 표면들을 세정하는 반응성 종들을 생성하기 위해 원격 플라즈마 소스를 이용한다. 반응성 종들은 O2와 같은 산소-함유 가스 및/또는 NF3와 같은 할로겐-함유 가스로부터 생성된다. 산소-기반 애싱 프로세스는 챔버가 원격 플라즈마 소스로부터 반응성 종들에 노출되기 이전에 챔버의 내부 표면으로부터 탄소 증착물들을 제거하기 위해 사용될 수 있다.

Description

유전체막들에 대한 원격 플라즈마 소스 세정을 강화시키는 방법{ENHANCEMENT OF REMOTE PLASMA SOURCE CLEAN FOR DIELECTRIC FILMS}
본 발명은 전반적으로 원격 플라즈마 소스를 이용하여 프로세싱 챔버를 세정하는 방법들에 관한 것이다.
집적회로의 기하학구조는 이러한 소자들이 처음 몇십 년 전에 도입된 이후 크기가 극적으로 감소되었다. 이후, 집적회로는 통상적으로 2년/절반-크기 규칙(소위 무어의 법칙)을 따르게 되었으며, 이는 칩 상의 소자들의 개수가 2년 마다 2 배가된다는 것을 의미한다. 오늘날의 제조 설비들은 통상적으로 0.13㎛ 및 심지어 0.1㎛ 피쳐 크기를 가지는 소자들을 제조하며, 앞으로의 설비는 곧 이보다 더 작은 피쳐 크기를 갖는 소자를 생산할 것이다.
이렇게 작은 소자 크기를 조장하는 개발법 중 하나는 미세하게 패터닝될 수 있고 기판의 하부 층들을 통해 미세한 패턴을 전사시킬 수 있는 능력을 갖는 패터닝막들을 개발하는 것이다. 이러한 패터닝막들의 예로는 캘리포니아 산타클라라의 어플라이드 머티리얼스사로부터 입수가능한 APFTM 막들과 같은 비정질 탄소막들이 있다.
반도체 소자 제조시 비정질 탄소 패터닝막들의 사용에 따라 비정질 탄소 패터닝막들을 처리하기 위해 사용되는 예를 들어, 증착 또는 에칭을 위해 사용되는 챔버들의 측벽들 및 챔버 부품들과 같은 내부 표면들 상에 바람직하지 않게 증착되는 탄소-함유 물질을 제거하는 방법에 대한 요구조건이 발생되었다. 챔버에서 인시튜(in situ) 무선주파수(RF)에 의해 활성화되는 세정 가스로서 산소(O2)를 사용하는 세정 프로세스들은 비정질 탄소막들을 증착시키기 위해 사용되는 세정 챔버들을 위해 개발되었다. 그러나 탄소-함유 증착물들을 제거하기 위해 요구되는 인시튜 RF 전력은 챔버 부품들을 손상시킬 수 있다. 원격 플라즈마 소스에 의해 활성화되는 세정 가스로서 O2를 사용하는 세정 프로세스들은 세정 프로세스 동안 챔버 손상을 감소 또는 소거시킬 수 있다. 그러나 원격 플라즈마 소스에 의해 생성되는 다수의 산소 라디컬들은 충분한 챔버 세정이 달성되기 이전에 재조합된다. 예를 들어, 산소 라디컬들은 세정이 요구되는 챔버의 모든 영역들에 도달하기 이전에 O2를 형성하도록 재조합될 수 있다.
소자 기하학구조의 지속된 감소로 인해 낮은 유전상수(k) 값을 갖는 막들에 대한 요구조건이 발생되었다. 약 3.0 미만 및 심지어 약 2.5 미만의 k 값을 갖는 유기실리콘막들(SiCOH 막들)과 같은 낮은 유전상수 막들이 개발되었다. 상기 막들은 높은 탄소 함량을 갖는다. 낮은 유전상수의 SiCOH 막들은 종종 실리콘 및 탄소-함유 배리어막들과 조합되어 사용된다.
높은 탄소 함량을 갖는 낮은 유전상수 막들의 개발로 인해 낮은 유전상수 막 들을 처리하기 위해 사용되는 챔버들의 내부 표면상에 증착되는 탄소-함유 물질을 제거하는 방법에 대한 요구조건이 발생되었다. 비-탄소-함유 실리콘 산화물막들과 같은 다른 유전체 막들로부터 발생되는 물질을 제거하기 위해 사용되는 방법들은 바람직하지 않은 부작용들을 나타낼 수 있으며 높은 탄소 함량을 갖는 낮은 유전상수 막들로부터 발생되는 증착물들로부터 탄소를 제거하는 것이 항상 충분한 것은 아니다. 예를 들어, 탄소 증착물을 세정하기 위해 충분한 NH3가 활성화되도록 챔버에 인시튜 무선주파수(RF) 전력을 제공에 의한 낮은 유전상수 유기실리콘 막 증착 챔버 세정은 오염성 알루미늄 불화물 미립자 생성을 할 수 있고, 이는 RF 전력에 의해 생성된 불화물 이온들이 알루미늄과 조합될 수 있어, 프로세싱 챔버들에서의 라이닝(lining) 물질로서 사용되기 때문이다.
프로세싱 챔버로부터 오염성 미립자의 제거는 점차 중요시되고 있으며, 이는 소자 크기가 점점 작아지고 종횡비가 보다 강화되기(aggressive) 때문이다. 피쳐 크기가 작을수록 종횡비가 강화될수록, 오염성 미립자의 크기 및 수는 소자의 성능을 유지할 수 있도록 최소화되어야 한다.
따라서, 오염물 발생을 최소화하면서, 프로세싱 챔버들을 효과적으로 세정할 수 있는 방법이 요구된다. 특히, 패터닝막들, 낮은 유전상수 유기실리콘막들, 및 실리콘 및 탄소 함유 배리어막들로 사용될 수 있는 비정질 탄소막들과 같은, 높은 탄소 함량을 갖는 막들을 처리하기 위해 사용되는 챔버들을 세정하는 방법이 요구된다.
본 발명은 전반적으로 반도체 프로세싱 챔버들을 세정하는 방법들에 관한 것이다. 그 내부 표면상에 탄소를 포함하며 탄소-함유 증착물을 갖는 막들을 처리하는데 이용되는 반도체 프로세싱 챔버들은 본 명세서에 개시되는 방법들을 사용하여 세정될 수 있다. 예를 들어, 비정질 탄소막들, 실리콘 및 탄소를 포함하는 배리어막들, 및 실리콘, 산소 및 탄소를 포함하는 낮은 유전상수 막들을 처리하는데 이용되는 반도체 프로세싱 챔버들은 본 명세서에 개시되는 방법들을 사용하여 세정될 수 있다.
일 실시예에서, 탄소-함유 증착물들을 갖는 프로세싱 챔버를 세정하는 방법은 프로세싱 챔버에 접속된 원격 플라즈마 소스에서 산소-함유 가스로부터 반응성 산소 종들을 생성하는 단계, 원격 플라즈마 소스에서 질소-함유 가스로부터 반응성 질소 종들을 생성하는 단계, 프로세싱 챔버로 반응성 산소 종들 및 질소 종들을 주입하는 단계, 및 챔버에서 RF 전력 없이 프로세싱 챔버의 내부 표면을 반응성 산소 종들 및 반응성 질소 종들에 노출시키는 단계를 포함한다. 챔버는 세정 프로세스 동안, 바람직하게 적어도 150℃의 온도로 가열되는 페이스플레이트(faceplate) 및 챔버 벽들을 포함하는 가스 분배 어셈블리를 포함한다. 또한, 반응성 불소 종들이 원격 플라즈마 소스로부터 실리콘 및 탄소를 포함하는 막들을 증착하는데 사용되는 프로세싱 챔버들 속으로 주입될 수 있지만, 비-실리콘 함유막들을 증착하는데 사용되는 프로세싱 챔버들은 반응성 불소 종들을 사용하지 않고 세정될 수 있다.
또 다른 실시예에서, 프로세싱 챔버를 세정하는 방법은 프로세싱 챔버에서 산소-기반 애싱 프로세스를 수행하는 단계, 프로세싱 챔버에 접속된 원격 플라즈마 소스에서 할로겐-함유 가스로부터 반응성 종들을 생성하는 단계, 할로겐-함유 가스로부터 프로세싱 챔버 속으로 반응성 종들을 주입하는 단계, 및 프로세싱 챔버의 내부 표면을 반응성 종들에 노출시키는 단계를 포함한다.
산소-기반 애싱 프로세스는 프로세싱 챔버 속으로 산소-함유 가스를 주입하는 단계 및 산소-함유 가스로부터 반응성 산소 종들이 생성되도록 프로세싱 챔버에 RF 전력을 인가하는 단계를 포함한다. 산소-기반 애싱 프로세스는 단일 단계로 수행될 수 있다. 선택적으로, 산소-기반 애싱 프로세스는 2단계로 수행될 수 있으며, 제 1 단계는 프로세싱 챔버의 페이스플레이트를 세정하는 단계이고 제 2 단계는 프로세싱 챔버의 또 다른 내부 표면을 세정하는 단계이다. 세정 챔버에서 RF 전력은 산소-기반 애싱 프로세스 이후에 중단되며, 프로세싱 챔버의 내부 표면은 원격 플라즈마 소스로부터 RF 전력 없이 반응성 종들에 노출된다. 산소-기반 애싱 프로세스는 챔버의 내부 표면으로부터 탄소-함유 증착물을 제거하는데 이용될 수 있으며, 원격 플라즈마 소스로부터의 반응성 종들은 챔버의 내부 표면으로부터 실리콘 및 산소-함유 증착물들을 순차적으로 제거하는데 이용될 수 있다. 바람직한 실시예에서, 산소-기반 애싱 프로세스에 사용되는 산소-함유 가스는 산소(O2)이고, 원격 플라즈마 소스에서 반응성 종들을 제공하는 할로겐-함유 가스는 삼불화 질소(NH3)이다.
본 발명의 앞서 언급된 특징들을 본 발명의 보다 상세한 설명, 상기 간략한 설명을 통해 이해할 수 있도록, 첨부되는 도면에 도시된 몇 가지 실시예를 참조한다. 그러나 첨부되는 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가적인 다른 실시예를 구현할 수 있다는 것을 주지해야 한다.
도 1은 프로세싱 챔버를 세정하는 방법의 실시예를 요약한 흐름도이다.
도 2는 본 발명의 실시예에 따라 세정될 수 있는 프로세싱 챔버의 단면도이다.
도 3은 프로세싱 챔버를 세정하는 방법의 또 다른 실시예를 요약한 흐름도이다.
도 4는 본 발명의 실시예에 따라 수행되는 산소-기반 애싱 프로세스의 과정에 따른 내부 챔버 표면의 FTIR 스펙트럼의 Si-CH3 프로파일을 나타낸다.
본 발명은 전반적으로 집적회로들 및 반도체 소자들의 제조시 이용되는 프로세싱 챔버들, 이를 테면 증착 챔버들을 세정하는 방법들을 제공한다. 세정 방법들은 프로세싱 챔버로부터 탄소-함유 증착물들을 세정하기 위해 원격 플라즈마 소스에서 생성된 반응성 종들을 이용하는 단계를 포함한다.
본 명세서에 개시된 챔버 세정 방법의 일 실시예는 도 1에 요약되어 있으며 하기에서 더욱 상세히 설명될 것이다. 단계(100)에 도시된 것처럼, 산소-기반 애 싱 프로세스는 프로세싱 챔버에서 수행된다. 단계(102)에 도시된 것처럼, 할로겐-함유 가스로부터 프로세싱 챔버와 접속된 원격 플라즈마 소스에서 반응성 종들이 생성된다. 단계(104)에 도시된 것처럼, 프로세싱 챔버 속으로 반응성 종들이 주입된다. 다음 단계(106)에 도시된 것처럼, 챔버에서 RF 전력 없이 프로세싱 챔버의 내부 표면들이 반응성 종들에 노출된다.
본 명세서에 개시된 방법들을 이용하여 세정 될 수 있는 챔버의 예로는 캘리포니아 산타클라라의 어플라이드 머티리얼스사로부터 입수가능한 PRODUCER
Figure 112008058230639-PCT00001
화학적 기상 증착(CVD) 챔버가 있다. PRODUCER
Figure 112008058230639-PCT00002
화학적 기상 증착 챔버는 실리콘, 산소 및 탄소, 및 다른 물질들을 포함하는 낮은 유전상수 막들과 같이, 탄소-도핑 실리콘 산화물들을 증착하는데 이용될 수 있는 2개의 절연된 프로세싱 영역들을 갖는다. 2개의 절연된 프로세싱 영역들을 갖는 챔버는 본 명세서에서 참조되는 미국 특허 No.5,855,681호에 개시된다.
PRODUCER
Figure 112008058230639-PCT00003
화학적 기상 증착 챔버는 원격 플라즈마 소스가 부착될 수 있는 포트를 갖는다. MKS 인스트루먼트로부터 입수가능한 Astron
Figure 112008058230639-PCT00004
ex 원격 플라즈마 소스를 갖는 PRODUCER
Figure 112008058230639-PCT00005
화학적 기상 증착 챔버가 본 명세서에 개시된 방법들의 실시예에 사용될 수 있다. 그러나 다른 프로세싱 챔버들 및 원격 플라즈마 소스들이 사용될 수 있다.
하기에 개시되는 가스 유량들은 전체적으로 CVD 챔버에 의해, 즉 절연된 프로세싱 영역들 모두에 의해 실험된 유량들로 간주된다. 따라서, CVD 챔버의 각각 의 프로세싱 영역들에 의해 실험되는 가스 유량들은 전체적으로 CVD 챔버에 의해 실험되는 가스 유량들의 대략 절반이다. 실시예들중 일부 예들은 2개의 프로세싱 영역들을 갖는 CVD 챔버의 프로세싱 영역의 세정과 관련하여 개시되었지만, 본 명세서에 개시된 방법들은 하나 또는 2개 이상의 프로세싱 영역들을 갖는 챔버의 프로세싱 영역을 세정하는데 이용될 수 있다.
2개의 프로세싱 영역들 및 2개의 원격 플라즈마 소스를 갖는 챔버의 예가 도 2에 도시된다. 챔버(200)는 그 내부에 가열 부재(들)(미도시)를 갖는 벽들을 포함하는 챔버 바디(212) 내부에 프로세싱 영역들(218, 220)을 갖는다. 하나의 원격 플라즈마 소스(250)는 프로세싱 영역(218)과 접속되며, 또 다른 원격 플라즈마 소스(250)는 프로세싱 영역(220)과 접속된다. 가열된 페데스털인 기판 지지체(228)는 구동 시스템(203)에 접속되며 챔버 바디(212)의 바닥부를 통해 연장되는 스템(stem)(226)에 의해 각각의 프로세싱 영역(218, 220)에 이동가능하게 배치된다. 또한, 프로세싱 영역들(218, 220) 각각은 바람직하게 챔버 리드(204)를 통해 배치되는 가스 분배 어셈블리(208)를 포함한다. 각각의 프로세싱 영역의 가스 분배 어셈블리(208)는 샤워 헤드 어셈블리(242)로 가스를 전달하는 가스 입구 통로(242)를 포함한다. 샤워 헤드 어셈블리(242)는 프로세싱 영역들(218, 220) 속으로 가스를 전달하기 위한 페이스플레이트(faceplate)(246)를 포함한다. 가스 분배 어셈블리(208)는 페이스플레이트(246)를 포함하며, 가스 분배 어셈블리(208)의 부품들을 가열하는 가열 부재(들)(미도시)를 포함한다.
도 1을 참조로, 산소-기반 애싱 프로세스는 프로세싱 챔버 속으로 산소-함유 가스를 주입하고 반응성 산소 종들을 생성하기 위한 플라즈마를 제공하기 위해 프로세싱 챔버에 RF 전력을 인가하는 단계를 포함한다. 반응성 산소 종들은 산소 라디컬들, 이온화된 산소 종들, 또는 여기된 상태에 있는 산소 종들일 수 있다. 산소-함유 가스는 예를 들어, O2, O3, CO2 및 이들의 조합물로 이루어진 그룹에서 선택될 수 있다. 산소-함유 가스는 유량을 두고 프로세싱 챔버 속으로 주입될 수 있다. 본 명세서에서 제공되는 유량들 및 다른 프로세싱 조건들은 300mm 기판을 처리하는데 이용되는 챔버를 기준으로 제공되며 다른 기판 또는 챔버 크기에 따라 조절될 수 있다. 선택적으로, 산소-함유 가스는 챔버에서 플라즈마 안정성을 강화시키기 위해, 아르곤, 질소 또는 헬륨과 같은 희석 캐리어 가스와 함께 프로세싱 챔버로 주입될 수 있다. 산소-기반 애싱 프로세스는 챔버의 내부 표면상에 미리 형성된 탄소 증착물들을 제거하기에 충분한 조건하에서 수행된다. 산소-기반 애싱 프로세스의 비율은 RF 전력, 간격(spacing), 온도, 산소-함유 가스의 유량 및/쪼는 압력을 변화시킴으로써 조절될 수 있다.
산소-기반 애싱 프로세스가 프로세싱 챔버에서 실행된 이후, 프로세싱 챔버의 RF 전력은 도 1의 단계들(102, 104)에 개시된 것처럼, 원격 플라즈마 소스에서 생성된 반응성 종들이 챔버 속으로 주입되기 이전에 중단된다. 바람직하게, 원격 플라즈마 소스에서 생성된 반응성 종들은 원격 플라즈마 소스에서 생성된 반응성 종들을 이용하는 세정 및 산소-기반 애싱이 "백-투-백(back-to-back)" 수행되도록 산소-기반 애싱 프로세스가 완료된 직후 챔버로 주입된다.
불소-함유 가스 또는 염소-함유 가스와 같은 할로겐-함유 가스를 원격 플라즈마 소스의 플라즈마 조건들에 노출시킴으로써 원격 플라즈마 소스에서 반응성 종들이 생성된다. 사용될 수 있는 불소-함유 가스들의 예로는 NF3, CF4, C2F4, C2F6, F2 및 이들의 조합물들이 포함된다. 사용될 수 있는 염소-함유 가스들의 예로는 CCl4, C2Cl6, Cl2 및 이들의 조합물들이 포함된다.
반응성 종들을 생성하기 위해 원격 플라즈마 소스에 의해 제공되는 전력은 예를 들어, 약 10킬로와트 사이일 수 있다. 반응성 종들은 라디컬들, 이온화된 종들, 또는 여기된 상태의 종들일 수 있다. 예를 들어, 반응성 종들은 불소 라디컬들, 이온화된 불소 종들, 또는 여기된 상태의 불소 종들일 수 있다. 반응성 종들은 유량으로 원격 플라즈마 소스로부터 프로세싱 챔버로 주입될 수 있다. 프로세싱 챔버의 내부 표면은 산소-기반 애싱 프로세스에 의해 챔버의 내부 표면으로부터 탄소-함유 증착물이 제거된 이후, 챔버의 내부 표면상에 남아있을 수 있는 실리콘 및 산소-함유 증착물을 충분히 제거할 수 있는 시간 동안 반응성 종들에 노출된다.
바람직한 실시예들에서, 산소-기반 애싱 프로세스에서 사용되는 산소-함유 가스는 O2이며, 원격 플라즈마 소스에서 반응성 종들을 제공하는 할로겐-함유 가스는 NF3이다.
산소-기반 애싱 프로세스는 도 1의 실시예에서 단일 단계(100)로 도시되고 설명되지만, 또 다른 실시예에서, 산소-기반 애싱 프로세스는 2단계를 포함한다. 예를 들어, 산소-기반 애싱 프로세스는 챔버의 페이스플레이트를 주로 세정하기 위한 제 1단계와 페이스플레이트 이외의 다른 챔버의 내부 표면들을 세정하기 위한 제 2단계를 포함할 수 있다. 예를 들어, 산소-기반 애싱 프로세스는 기판 지지체 간격에 대한 제 1 압력 및 제 1 페이스플레이트에서 플레이트를 세정한 다음 기판 지지체 간격에 대한 제 2 압력 및 제 2 페이스플레이트에서 챔버의 다른 내부 표면들을 세정하는 단계를 포함한다. 바람직하게, 페이스플레이트는 챔버의 다른 내부 표면들을 세정하기 위해 사용되는 압력 및 간격을 기준으로 기판 지지체 간격에 대해 보다 높은 압력 및 보다 작은 페이스플레이트에서 세정된다. 압력 및 간격을 제외하고, 온도, RF 전력 및 산소-함유 가스의 유량과 같은 다른 프로세싱 조건들은 페이스플레이트 세정 및 챔버의 다른 내부 표면들의 세정 동안 변하지 않을 수 있고 도 1의 실시예에 따라 단일 단계의 산소-기반 애싱 프로세스를 참조로 앞서 개시된 조건과 동일한 범위일 수 있다.
본 명세서에서 제공되는 산소-기반 애싱 프로세스를 이용하여 챔버의 내부 표면들 상에 실리콘, 탄소 및 산소 증착물을 갖는 챔버를 처리함으로써, 탄소 증착물들이 제거될 수 있고, 이는 산소-기반 애싱 프로세스들은 챔버로부터 쉽게 제거될 수 있는 가스인 CO2와 같은 탄소 증착물을 산화시키기 때문이다. 도 4는 본 발명의 실시예에 따라 수행되는 산소-기반 애싱 프로세스(0, 30, 60, 90초 애싱)의 과정에 따른 내부 챔버 표면의 FTIR 스펙트럼들을 나타낸다. FTIR 스펙트럼들은 Si-CH3 피크가 산소-기반 애싱 프로세스의 과정에 따라 표면을 감소시킨다는 것을 나타낸다. 따라서, 산소-기반 애싱 프로세스 이후, 남아있는 증착물은 주로 실리콘 및 산소-함유 증착물들로 원격 플라즈마 소스에 의해서만, 즉, 인시튜 RF 전력 없이 생성된 반응성 종들을 사용함으로써 제거될 수 있다.
본 발명의 바람직한 실시예는 도 3에 요약되어 있으며 하기에 보다 상세히 설명된다. 도 3에 요약된 실시예에서, 원격 플라즈마 챔버에서 생성된 반응성 종들은 세정 프로세스 동안 프로세싱 챔버에서 RF 전력을 사용하지 않고 원격 플라즈마 소스와 접속된 프로세싱 챔버를 세정하는데 이용된다. 도 3의 단계(302)에 도시된 것처럼, 반응성 산소 종들 및 반응성 질소 종들은 프로세싱 챔버에 접속된 원격 플라즈마 소스에서 생성된다. 다음 반응성 산소 종들 및 반응성 질소 종들이 단계(304)에 도시된 것처럼, 프로세싱 챔버 속으로 주입되고 프로세싱 챔버의 내부 표면은 단계(306)에 도시된 것처럼, 프로세싱 챔버로부터 탄소-함유 증착물을 제거하기 위해 프로세싱 챔버에서 RF 전력 없이 반응성 산소 종들 및 반응성 질소 종들에 노출된다. 바람직하게, 반응성 산소 종들은 O2로부터 생성된다. 반응성 질소 종들은 예를 들어, N2, N2O, 또는 NO3로부터 생성될 수 있다.
반응성 산소 종들은 챔버로부터 쉽게 제거될 수 있는 휘발성 산소 및 탄소-함유 부산물을 형성하도록 챔버의 내부 표면들 상의 탄소-함유 증착물들과 반응한다. 반응성 질소 종들은 반응성 산소 종들을 제공하는 산소-함유 가스의 분해를 조장한다. 또한, 반응성 질소 종들은 챔버로 반응성 산소 종들의 수송을 보조한 다음 프로세싱 챔버에서 활성 형태인 반응성 산소 종들을 방출한다.
선택적으로, 반응성 불소 종들은 원격 플라즈마 소스에서 생성되며 프로세싱 챔버로 주입된다. 반응성 불소 종들은 챔버로부터 실리콘-함유 증착물들을 제거하는데 유용하다. 프로세싱 챔버가 실리콘을 포함하는 막들을 증착하는데 사용되지 않는다면, 예를 들면 챔버가 비정질 탄소막들을 증착하는데만 사용된다면, 불소 반응성 종들은 챔버 표면상에 불소 수지(fluorocarbon polymer)를 형성하도록 탄소-함유 증착물들과 반응할 수 있기 때문에, 이는 불소 반응성 종들 없이 챔버를 세정하는데 바람직하다. 한편, 그 위에 비정질 탄소 막 및 SiON 유전체 무반사 코팅(DARC) 모두를 증착하기 위해 사용되는 챔버에 대해, 실리콘-함유 증착물을 제거하기 위해 세정 프로세스에서 불소 반응성 종들을 포함하는 것이 바람직할 수 있다.
바람직하게, 아르곤, 헬륨 또는 다른 불활성 가스들과 같은 불활성 가스는 반응성 종들을 생성하는 동안 원격 플라즈마 소스에 제공된다. 불활성 가스는 원격 플라즈마 소스에서 압력 안정화를 돕고 프로세싱 챔버로 반응성 종들의 수송을 보조한다. 또한, 불활성 가스는 원격 플라즈마에 의해 분해되며 세정 프로세스를 돕는다. 불활성 가스는 프로세싱 챔버로부터 제거되는 증착물의 형태를 기초로 선택될 수 있다. 예를 들어, 실리콘, 산소, 탄소 및 수소를 포함하는 낮은 유전상수막들을 증착하기 위해 사용되는 프로세싱 챔버를 세정하기 위한 불활성 가스로서는 헬륨이 사용될 수 있는 반면, 산소는 포함하지 않고 실리콘 및 탄소를 포함하는 막들 또는 비정질 탄소막들을 증착하는데 사용되는 프로세스 챔버를 세정하는 불활성 가스로서는 아르곤이 사용될 수 있다. 그러나 본 명세서에 개시된 임의의 막들을 증착하기 위해 사용되는 챔버들을 세정하기 위해 임의의 불활성 가스가 사용될 수 있다.
일단 반응성 산소 종들, 반응성 질소 종들, 및 선택적 반응성 불소 종들이 프로세싱 챔버에 있다면, 반응성 종들의 세정 활동은 페이스플레이트 및 챔버 벽들을 포함하는 가스 분배 어셈블리를 적어도 약 150℃의 온도로 가열함으로써 강화된다. 이러한 챔버 표면들의 가열은 챔버에서 추가적인 반응성 종들의 활성화 및/또는 생성에 의해 세정 프로세스를 가속시킨다. 일 면에서, 챔버 표면은 증착이 완료된 이후 그리고 세정 프로세스에 걸쳐 챔버에 있는 기판 상에 막을 증착하는 동안 이들 표면에 통상적으로 인가되는 열을 지속 또는 유지함으로써 가열된다.
본 명세서에 개시된 원격 플라즈마-기반 세정 프로세스들은 챔버 내부에 플라즈마를 제공하는 인시튜 RF 전력을 사용하는 세정 프로세스에 대해 몇 가지 장점을 갖는다. 예를 들어, 인시튜 보다는 원격적으로 플라즈마가 제공되기 때문에 페이스플레이트와 같은 챔버 부품들의 손상이 최소화된다. 페이스플레이트 상에 알루미늄 불화물 미립자의 형성은 인시튜 보다는 원격적으로 플라즈마를 제공함으로써 최소화된다. 원격 플라즈마 소스에 의해 제공되는 반응성 종들은 챔버의 플라즈마 프로세싱 영역에 있지 않은, 인시튜 RF 전력을 이용하여 세정되기 어려운 챔버 슬릿 밸브 또는 챔버 통로, 배출 포트 및 챔버 바닥부와 같은 챔버의 영역들에 도달할 수 있다. 또한, 본 명세서에 개시되는 원격 플라즈마-기반 세정 프로세스는 챔버 표면들 상의 잔류물 또는 증착물들의 플라즈마 조밀화를 산출할 수 있는 인시튜 산소 플라즈마-기반 세정 프로세스들 보다는 높은 에칭률을 제공할 수 있 다. 플라즈마 조밀화 잔류물은 보다 단단하여 에칭이 어려워, 세정 프로세스를 지연시킨다.
챔버의 바닥부의 세정을 보다 강화시키기 위해, 원격 플라즈마 소스로부터의 반응성 종들은 일부 반응성 종들이 챔버의 가스 분배 어셈블리를 먼저 통과하지 않고 챔버로 주입되도록, 원격 플라즈마 소스로부터 챔버의 바닥부 속으로 연장되는 전환 라인을 통해 챔버의 바닥부로 주입될 수 있다.
실리콘 및 탄소를 포함하는 막들을 증착하는데 이용되는 챔버들의 세정
본 명세서에서 제공되는 챔버 세정 방법들은 실리콘, 탄소, 산소 및 수소를 포함하는 낮은 유전상수 막들(예를 들어, k<2.5) 및 탄소-함유 배리어막들 및 실리콘과 같이, 실리콘 및 탄소를 포함하는 후-처리 막들 및/또는 증착물들에 이용되는 세정 챔버에 특히 유용하다. 예를 들어, 낮은 유전상수 막들은 유기실리콘 화합물 및 탄화수소-기반 화합물을 포함하는 증착 가스 혼합물로부터 플라즈마-강화 화학적 기상 증착에 의해 증착될 수 있다. 본 명세서에 정의된 것처럼, 탄화수소-기반 화합물은 단지 탄소 및 수소를 포함하는 탄화수소들 뿐만 아니라 주로 탄소 및 수소를 포함하나, 또한 산소 또는 질소와 같은 다른 원자들도 포함하는 화합물들을 포함한다. 또한, 증착 가스 혼합물은 산화 가스 및 다중 유기실리콘 화합물과 같은 다른 성분들을 포함할 수 있다. 다공성을 증가시키고 기계적 특성을 강화시키는 것과 같이, 막의 특성을 변형시키기 위해 사용될 수 있는 후-처리들(post- treatments)로는 플라즈마, UV 및 전자 빔 처리들이 포함된다. 이러한 낮은 유전상수막들을 증착하는 방법들은 본 명세서에 참조로 통합되는 공동 양도된 미국 특허 No.6,936,551호 및 US 특허 공개 No.2004/0101633호에 개시된다.
도 3에 요약된 것처럼 세정 프로세스에 의해 실리콘 및 탄소 및 선택적으로 산소를 포함하는 막들을 증착하는데 이용되는 챔버들을 세정하는 프로세싱 조건들이 개시된다. 반응성 산소 종들 및 반응성 불소 종들은 라디컬들, 이온화된 종들, 또는 여기된 상태의 종들일 수 있다. 반응성 산소 종들은 O2, O3, CO2 및 이들의 조합물들과 같은 산소-함유 가스로부터 생성된다. 반응성 불소 종들은 NF3, CF4, C2F4, C2F6, F2 및 이들의 조합물들과 같은 불소-함유 가스로부터 생성된다. 바람직한 실시예에서, 반응성 산소 종들은 O2로부터 생성되며, 반응성 불소 종들은 NF3로부터 생성된다. 반응성 산소 종들은 제 1 유량으로 원격 플라즈마 소스로부터 프로세싱 챔버 속으로 주입될 수 있고, 반응성 불소 종들은 제 2 유량으로 원격 플라즈마 소스로부터 프로세싱 챔버로 주입될 수 있다. 바람직하게, 반응성 산소 종들은 O2로부터 생성되며, 반응성 불소 종들은 NF3로부터 생성된다.
NF3로부터 생성된 반응성 종들의 유량 대 O2로부터 생성된 반응성 종들의 유량의 비율(축약해서 NF3:O2 비율)은 세정 프로세스의 에칭률을 제어하는데 있어 중요한 변수라는 것이 밝혀졌다. 선택적으로, NF3:O2 비율은 약 0.083(1:12)이다. 또한, 대부분의 실리콘은 높은 NF3:O2 비율에서 챔버 표면들 상의 잔류물들로부터 제거될 수 있는 반면, 유리된(loose), 고체 탄소 및 불소-함유 잔류물은 높은 NF3:O2 비율에서 수행되는 챔버 세정 프로세스들이 수행된 이후 남아있게 된다는 것이 밝혀졌다.
선택적으로, 아르곤 또는 헬륨과 같은 캐리어 또는 희석 가스는 원격 플라즈마 소스로부터 프로세싱 챔버로 반응 종들의 수송을 보조하는데 이용될 수 있다.
프로세싱 챔버의 내부 표면들은 프로세싱 챔버에 유기실리콘 화합물 및 탄화수소-기반 화합물을 포함하는 화합물로부터 증착된 낮은 유전상수막과 같은 실리콘 및 탄소-함유막을 증착하는 동안 프로세싱 챔버의 내부 표면상에 미리 형성된 실리콘 및 탄소-함유 증착물들을 제거하기 충분한 시간 주기 동안 반응성 종들에 노출된다.
챔버의 내부 표면들을 반응성 종들에 노출하는 동안, 챔버 압력은 약 1Torr 내지 약 2.8Torr 사이일 수 있다. 보다 높은 압력은 보다 낮은 에칭률을 야기시킨다. 보다 높은 압력은 덜 활성적인 종들로 반응서 종들의 재결합을 가속시키는 것으로 여겨진다. 예를 들면, 불소 라디컬은 F2를 형성하도록 재결합될 수 있지만, 보다 낮은 압력은 세정이 어려운 챔버의 영역들로 반응성 종들의 수송을 강화시킨다.
원격 플라즈마 소스에서 플라즈마 조건에 대한 NF3 및 O2 모두의 노출은 챔버로부터 쉽게 제거될 수 있는 CO 및 HF 휘발성 부산물들을 형성하도록 챔버에서 탄소 및 수소-함유 잔류물들과 반응하는 산소 및 불소 라디컬들로 분해될 수 있는 OF 라디컬들을 생성하는 것으로 여겨진다. 원격 플라즈마 소스 내부 보다는 원격 플라즈마 소스의 프로세싱 챔버 하류에 O2가 제공된다는 것을 제외하고는 본 명세서에 제공되는 것들과 유사한 프로세스 조건들을 이용하여 수행되는 세정 프로세스들은 프로세싱 챔버속으로 주입되기 이전에 NF3 및 O2 모두가 원격 플라즈마 소스의 플라즈마 조건에 노출되는 세정 프로세스 보다 에칭률이 상당히 낮다.
O2가 원격 플라즈마 소스의 하류로 프로세싱 챔버에 제공될 때 NF3 및 O2의 여기되고 잠재적으로 반응성 종들의 부족은 플라즈마에서 잔광(afterglow)의 발광(luminescence)의 부재에 의해 논의된다. 통상적으로 잔광의 발광은 NF3 및 O2 모두가 프로세싱 챔버 속에 주입되기 이전에 원격 플라즈마 소스에서 플라즈마 조건에 노출된다. 따라서, NF3 및 O2 플라즈마 잔광의 관찰된 발광은 세정 프로세스를 위한 엔드포인트 표시기로서 잔광을 사용하는 것 이외에 프로세스 챔버에서 세정 속도 조건을 모니터링하는데 이용될 수 있다. 일 실시예에서, NF3 및 O2 플라즈마 잔광 발광의 세기는 공지된 기술의 종래의 조도계에 의해 측정될 수 있다. 측정된 세기 값이 높을수록 플라즈마에서 NF3 및 O2의 여기된 종들의 농도가 높다는 것을 나타낸다. 따라서, 측정된 세기 값은 유량, 온도, 및 RF 전력들과 같은 변화하는 프로세스 파라미터들이 플라즈마에서 NF3 및 O2의 여기된 종들의 형성 및 세정 속도 조건에 어떻게 영향을 미치는지에 대한 표시기로서 사용될 수 있다. 또 한, 세정 프로세스 동안 조명 세기 값의 증가는 세정 프로세스에 대한 엔드포인트 표시기로서 사용될 수 있다. 세정 프로세스가 개시됨에 따라, 플라즈마에서 NF3 및 O2의 여기된 종들은 챔버에 있는 탄소 및 수소-함유 잔류물과 반응한다. 탄소 및 수소-함유 잔류물의 제거에 따라, NF3 및 O2의 여기된 종들의 농도는 여기된 종들이 탄소 및 수소-함유 잔류물들과 덜 반응함에 따라 증가한다.
바람직하게, 챔버의 내부 표면들은 챔버의 내부 표면들이 반응성 종들에 노출되는 동안 적어도 약 150℃의 온도로 가열된다. 내부 표면들은 챔버에 있는 가열된 지판 지지체 및 가열된 가스 분배 어셈블리에 의해 가열될 수 있다. 챔버의 내부 표면들의 가열은 챔버에서 추가의 반응성 종들의 활성화 및/또는 생성에 의해 세정 프로세스를 가속시킨다. 예를 들어, 상대적으로 비활성적인 세정 가스 O3는 분해되어 적어도 약 150℃로 가열된 표면 상에 반응성 산소 종들을 제공한다. 특히 가스 분배 어셈블리의 페이스플레이트 가열은 세정 페이스플레이트가 챔버의 위치로 보다 많은 반응성 종들을 허용하기 때문에 세정 프로세스를 가속시킨다.
비정질 탄소막들을 증착하는데 이용되는 챔버들의 세정
앞서 설명된 것처럼, 비정질 탄소막들을 증착하는데 사용되는 프로세싱 챔버는 반응성 불소 종들 없이 원격 플라즈마 소스에 의해 생성되는, 즉 원격 플라즈마 소스에 의해 제공되는 반응성 불소 종들 없이 또는 불소 소스를 주입하고 챔버에 전력을 인가함으로써 생성되는 반응성 질소 종들 및 반응성 질소 종들을 프로세싱 챔버의 내부 표면에 노출시킴으로써 세정될 수 있다. 또한, 앞서 설명된 것처럼, 챔버의 내부 표면들은 적어도 약 150℃의 온도로 가열된다.
비정질 탄소막들을 증착하기 위해 사용되는 프로세싱 챔버는 어플라이드 머티리얼스사로부터 입수가능한 PRODUCER
Figure 112008058230639-PCT00006
또는 PRODUCER
Figure 112008058230639-PCT00007
SE 챔버일 수 있다. 원격 플라즈마 소스는 MKS 인스트루먼트로부터 입수가능한 Astron
Figure 112008058230639-PCT00008
ex 원격 플라즈마 소스일 수 있다. 그러나, 다른 프로세싱 챔버들 및 원격 플라즈마 소스들이 이용될 수 있다.
반응성 종들을 생성하기 위해 원격 플라즈마 소스에 의해 제공되는 전력은 10kW에 이를 수 있다. 반응성 산소 종들은 제 1 유량으로 원격 플라즈마 소스로부터 프로세싱 챔버로 주입될 수 있고, 반응성 질소 종들은 제 2 유량으로 원격 플라즈마 소스로부터 프로세싱 챔버에 주입될 수 있다. 바람직하게, 반응성 산소 종들은 O2로부터 생성된다.
선택적으로, 아르곤 또는 헬륨과 같은 캐리어 또는 희석 가스는 프로세싱 챔버로 원격 플라즈마 소스로부터 반응성 종들의 수송을 보조하는데 이용될 수 있다.
챔버의 내부 표면을 반응성 종들에 노출하는 동안, 챔버 압력은 약 1Torr 내지 약 2Torr 사이일 수 있다.
본 발명의 또 다른 면에 따라, 프로세싱 챔버에 접속된 원격 플라즈마 소스에서 산소-함유 가스로부터 반응성 산소 종들 및 불소-함유 가스로부터 반응성 불 소 종들을 생성하는 단계, 프로세싱 챔버 속으로 반응성 산소 종들 및 반응성 불소 종들을 주입하는 단계, 및 프로세싱 챔버의 내부 표면을 챔버에서 RF 전력없이 반응성 산소 종들 및 반응성 불소 종들에 노출시키는 단계를 포함하는 세정 프로세스는 비정질 탄소막들을 증착하는데 사용되는 프로세싱 챔버를 세정하는데 이용된다. 특히, 이러한 세정 프로세스는 플라즈마 강화 화학적 기상 증착(PECVD) 반응에서 톨루엔, 또는 다른 고리형 불포화 탄화수소와 같은 방향족 전구체로부터 비정질 탄소막을 증착하는 동안 프로세싱 챔버의 내부 표면상에 이전에 형성된 증착물을 제거하는데 유용하다. 이러한 전구체들로부터 비정질막을 증착하는 동안 형성된 증착물은 프로필렌 또는 아세틸렌과 같은 짧은 고리의 선형 탄화수소로부터 비정질 탄소막들을 증착하는 동안 형성된 증착물들을 제거하는 것보다 어려운 큰 폴리머형 탄소-함유 잔류물을 포함한다. 톨루엔 또는 다른 고리형 비포화 탄화수소와 같은 방향족 전구체로부터 비정질 탄소막을 증착하는데 이용되는 챔버는 프로필렌 또는 아세틸렌과 같은 짧은 고리형 선형 탄화수소와 같은 다른 탄화수소 화합물들로부터 비정질 탄소막을 증착하는데 이용되는 챔버를 세정하는데 이용될 수 있다.
비정질 탄소막들을 증착하기 위해 사용되는 프로세싱 챔버는 어플라이드 머티리얼스사로부터 입수가능한 PRODUCER
Figure 112008058230639-PCT00009
또는 PRODUCER
Figure 112008058230639-PCT00010
SE 챔버일 수 있다. 원격 플라즈마 소스는 MKS 인스트루먼트로부터 입수가능한 Astron
Figure 112008058230639-PCT00011
ex 원격 플라즈마 소스일 수 있다. 그러나, 다른 프로세싱 챔버들 및 원격 플라즈마 소스들이 이용될 수 있다.
반응성 종들을 생성하기 위해 원격 플라즈마 소스에 의해 제공되는 전력은 10kW에 이를 수 있다. 반응성 산소 종들은 약 1000sccm 내지 약 4000sccm 사이의 유량으로 원격 플라즈마 소스로부터 프로세싱 챔버로 주입될 수 있다. 반응성 불소 종들은 약 50sccm 내지 약 500sccm 사이의 유량으로 원격 플라즈마 소스로부터 프로세싱 챔버로 주입될 수 있다. 바람직하게, 반응성 산소 종들은 O2로부터 생성되며 반응성 불소 종들은 NF3로부터 생성된다. NF3로부터 생성된 반응성 종들의 유량 대 O2로부터 생성된 반응성 종들의 유량의 비율(축약해서 NF3:O2 비율)은 세정 프로세스의 에칭률을 제어하는데 있어 중요한 변수라는 것이 밝혀졌다. 바람직하게, NF3:O2 비율은 약 0.1(1:10) 내지 약 0.3 사이로, 보다 높고 보다 낮은 비율들은 보다 낮은 에칭률을 산출한다. 최적의 NF3:O2 비율은 약 0.1이다.
선택적으로, 아르곤 또는 헬륨과 같은 캐리어 또는 희석 가스는 원격 플라즈마 소스로부터 프로세싱 챔버로 반응성 종들의 수송을 보조하도록 이용될 수 있다. 프로세싱 챔버 속으로의 캐리어 또는 희석 가스의 유량은 약 0sccm 내지 약 3000sccm 사이 또는 심지어 9000sccm에 이를 수 있다. 캐리어 또는 희석 가스로서 헬륨을 사용하는 세정 프로세스 및 캐리어 또는 희석 가스로서 아르곤을 사용하는 세정 프로세스를 통해 비교가능한 에칭률이 얻어진다. 최적의 NF3:O2 비율은 양쪽 세정 프로세스 모두 0.1이다. 캐리어 또는 희석 가스로서 헬륨이 사용될 때 아르곤보다는 0.1의 NF3:O2 비율에서 약간 높은 에칭률이 관찰되었다.
NF3, O2 및 최적의 캐리어 가스의 전체 유량은 약 2000sccm 내지 약 6000sccm 사이일 수 있다. 보다 높은 전체 유량에서 보다 높은 에칭률이 얻어진다.
챔버의 내부 표면들이 반응성 종들에 노출되는 동안, 챔버 압력은 약 1Torr 내지 약 2Torr 사이일 수 있다. 약 2Torr 이상의 챔버 압력에서 상당한 에칭률 강하가 관찰된다.
기판 지지체의 온도는 약 300℃ 내지 약 400℃ 사이로 설정될 수 있다. 바람직하게, 가스 분배 어셈블리는 페이스플레이트가 약 160℃의 온도를 갖도록 약 160℃의 온도로 가열될 수 있다. 그러나, 가스 분배 어셈블리는 약 75℃ 내지 약 160℃ 사이와 같이 더 낮은 온도로 가열될 수도 있다. 에칭률은 더 높은 가스 분배 어셈블리 히터 온도에서 증가되는 것으로 밝혀졌다. 그러나, 8000Å/분 이상의 바람직한 에칭률은 75℃의 히터 온도에서 관찰되었다.
기판 지지체와 챔버의 가스 분배 어셈블리의 페이스플레이트 사이의 간격은 약 200mils 내지 약 1000mils 사이일 수 있다.
프로세싱 챔버의 내부 표면들은 챔버의 내부 표면들로부터 실리콘 및 산소-함유 증착물들을 제거하기에 충분한 시간 주기 동안 반응성 종들에 노출된다. 예를 들어, 프로세싱 챔버의 내부 표면들은 증착물들의 1000Å 두께 당 약 35초 동안 반응성 종들에 노출될 수 있다.
실시예의 일례가 하기에 개시된다.
예 1
PRODUCER
Figure 112008058230639-PCT00012
CVD 챔버는 Astron
Figure 112008058230639-PCT00013
ex 원격 플라즈마 소스에서 반응성 산소 종들 및 반응성 불소 종들을 생성하는 단계 및 PRODUCER
Figure 112008058230639-PCT00014
CVD 챔버로 반응성 산소 종들 및 반응성 불소 종들을 주입하는 단계 및 실리콘, 산소 및 탄소를 포함하는 낮은 유전상수 막의 약 6000Å을 제거하기 위해 챔버에서 RF 전력 없이 약 150초 동안 챔버의 내부 표면들을 반응성 종들에 노출시키는 단계에 의해 세정된다. 낮은 유전상수 막은 메틸디에톡실란(mDEOS), 노르보나디엔(norbornadiene)(BCHD) 및 산소를 포함하는 가스 혼합물로부터 PECVD 프로세스에서 챔버에 먼저 증착된다. 반응성 산소 종들은 약 6000sccm의 유량으로 원격 플라즈마 소스로부터 챔버 속으로 주입된다. 반응성 불소 종들은 약 500sccm의 유량으로 원격 플라즈마 소스로부터 챔버에 주입된다. 헬륨은 캐리어 가스로 사용되며 약 6000sccm의 유량으로 챔버에 주입된다. 챔버의 내부 표면들이 반응성 종들에 노출되는 동안, 챔버 압력은 약 2.8Torr이다. 페이스플레이트 및 챔버 벽들을 포함하는 가스 분배 어셈블리는 내부 표면들이 반응성 종들에 노출되는 동안 가열된다. 기판 지지체에 대한 페이스플레이트 간격은 약 1800mils이다.
지금까지는 본 발명의 실시예들에 관한 것이었지만, 본 발명의 다른 실시예 및 추가 실시예들은 하기 청구항들에 의해 결정되는 본 발명의 기본 사상 및 범주를 이탈하지 않고 고안될 수 있다.

Claims (20)

  1. 페이스플레이트를 갖는 가스 분배 어셈블리 및 챔버 벽들을 포함하는 프로세싱 챔버를 세정하는 방법으로서,
    상기 프로세싱 챔버에 접속되는 원격 플라즈마 소스에서 산소-함유 가스로부터 반응성 산소 종들을 생성하는 단계;
    상기 원격 플라즈마 소스에서 질소-함유 가스로부터 반응성 질소 종들을 생성하는 단계;
    상기 프로세싱 챔버 속으로 상기 반응성 산소 종들 및 상기 반응성 질소 종들을 주입하는 단계; 및
    상기 가스 분배 어셈블리 및 상기 챔버 벽들을 가열하는 동안 상기 프로세싱 챔버의 내부 표면들을 상기 챔버에서 RF 전력 없이 상기 반응성 산소 종들과 상기 반응성 질소 종들에 노출시키는 단계
    를 포함하며, 상기 내부 표면들을 상기 반응성 산소 종들 및 상기 반응성 질소 종들에 노출시키는 단계는 상기 프로세싱 챔버에서 비정질 탄소막을 증착하는 동안 상기 프로세싱 챔버의 상기 내부 표면상에 이전에 형성된 탄소-함유 증착물들을 제거하는, 프로세싱 챔버 세정 방법.
  2. 제 1 항에 있어서,
    상기 내부 표면들은 상기 내부 표면들이 반응성 불소 종들에 노출되지 않게 상기 반응성 산소 종들 및 상기 반응성 질소 종들에 노출되는 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  3. 제 1 항에 있어서,
    상기 반응성 질소 종들은 O2로부터 생성되며 상기 반응성 질소 종들은 NF3로부터 생성되는 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  4. 제 3 항에 있어서,
    상기 프로세싱 챔버속으로의 NF3로부터 생성된 상기 반응성 종들의 유량 대 상기 프로세싱 챔버 속으로의 O2로부터 생성된 상기 반응성 종들의 유량의 비율은 약 0.1 대 약 0.3 사이인 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  5. 제 4 항에 있어서,
    상기 프로세싱 챔버의 내부 표면들은 약 1 Torr 내지 약 2 Torr 사이의 챔버 압력에서 상기 반응성 산소 종들과 상기 반응성 질소 종들에 노출되는 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  6. 제 1 항에 있어서,
    상기 비정질 탄소막은 톨루엔을 포함하는 가스 혼합물로부터 PECVD 프로세스 에 의해 증착되는 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  7. 제 1 항에 있어서,
    상기 프로세싱 챔버에서 상기 반응성 산소 종들과 상기 반응성 질소 종들의 잔광(afterglow)의 발광을 측정하는 단계를 더 포함하는 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  8. 페이스플레이트를 갖는 가스 분배 어셈블리 및 챔버 벽들을 포함하는 프로세싱 챔버를 세정하는 방법으로서,
    상기 프로세싱 챔버와 접속된 원격 플라즈마 소스에서 산소-함유 가스로부터 반응성 산소 종들을 생성하는 단계;
    상기 원격 플라즈마 소스에서 불소-함유 가스로부터 반응성 불소 종들을 생성하는 단계;
    상기 프로세싱 챔버 속으로 상기 반응성 산소 종들 및 상기 반응성 불소 종들을 주입하는 단계; 및
    상기 가스 분배 어셈블리 및 상기 챔버 벽들이 가열되는 동안 상기 프로세싱 챔버의 내부 표면들을 상기 챔버에서 RF 전력 없이 상기 반응성 산소 종들과 상기 반응성 불소 종들에 노출시키는 단계
    를 포함하며, 상기 내부 표면들은 상기 반응성 산소 종들 및 상기 반응성 불소 종들에 노출시키는 단계는 상기 프로세싱 챔버의 내부 표면들 상에 이전에 형성 된 실리콘 및 탄소-함유 증착물을 제거하는, 프로세싱 챔버 세정 방법.
  9. 제 8 항에 있어서,
    상기 반응성 산소 종들은 O2로부터 생성되며 상기 반응성 불소 종들은 NF3로부터 생성되는 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  10. 제 9 항에 있어서,
    상기 프로세싱 챔버 속으로 NF3로부터 생성된 상기 반응성 종들의 유량 대 상기 프로세싱 챔버 속으로 O2로부터 생성된 상기 반응성 종들의 유량의 비율은 약 1:12인 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  11. 제 10 항에 있어서,
    상기 프로세싱 챔버의 상기 내부 표면들은 약 1Torr 내지 약 2.8Torr 사이의 챔버 압력에서 상기 반응성 산소 종들 및 상기 반응성 불소 종들에 노출되는 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  12. 제 8 항에 있어서,
    상기 실리콘 및 탄소-함유 증착물은 상기 프로세싱 챔버에서 유기실리콘 화합물 및 탄화수소-기반 화합물을 포함하는 혼합물로부터 낮은 유전상수막을 증착하 는 동안 형성되는 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  13. 제 8 항에 있어서,
    상기 프로세싱 챔버에서 상기 반응성 산소 종들 및 상기 반응성 질소 종들의 잔광의 발광을 측정하는 단계를 더 포함하는 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  14. 프로세싱 챔버를 세정하는 방법으로서,
    상기 프로세싱 챔버에서 산소-기반 애싱을 수행하는 단계;
    상기 프로세싱 챔버와 접속된 원격 플라즈마 소스에서 할로겐-함유 가스로부터 반응성 종들을 생성하는 단계; 및
    상기 프로세싱 챔버에서 RF 전력 없이 상기 프로세싱 챔버의 내부 표면들을 상기 반응성 종들에 노출시키는 단계
    를 포함하는, 프로세싱 챔버 세정 방법.
  15. 제 14 항에 있어서,
    상기 산소-기반 애싱은 상기 프로세싱 챔버 속으로 산소-함유 가스를 주입하는 단계 및 반응성 산소 종들이 생성되도록 상기 프로세싱 챔버에 RF 전력을 인가하는 단계를 포함하며, 상기 RF 전력은 상기 프로세싱 챔버의 내부 표면들이 상기 할로겐-함유 가스로부터의 상기 반응성 종들에 노출되기 이전에 중단되는 것을 특 징으로 하는 프로세싱 챔버 세정 방법.
  16. 제 15 항에 있어서,
    상기 산소-기반 애싱은 상기 프로세싱 챔버로 O2를 주입하는 단계를 포함하며, 상기 할로겐-함유 가스는 NF3인 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  17. 제 14 항에 있어서,
    상기 프로세싱 챔버는 페이스플레이트 및 기판 지지체를 포함하며, 상기 산소-기반 애싱은 기판 지지체 간격에 대해 제 1 압력 및 제 1 페이스플레이트에서 상기 페이스플레이트를 세정하는 단계 및 기판 지지체 간격에 대해 제 2 압력 및 제 2 페이스플레이트에서 상기 프로세싱 챔버의 다른 표면을 세정하는 단계를 포함하는 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  18. 제 14 항에 있어서,
    상기 할로겐-함유 가스는 불소-함유 가스 또는 염소-함유 가스인 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  19. 제 14 항에 있어서,
    상기 산소-기반 애싱 및 상기 프로세싱 챔버의 내부 표면들을 상기 반응성 종들에 노출시키는 단계는 상기 프로세싱 챔버에서 유기실리콘 화합물 및 탄화수소-기반 화합물을 포함하는 혼합물로부터 낮은 유전상수 막을 증착하는 동안 상기 프로세싱 챔버의 상기 내부 표면들 상에 이전에 형성된 실리콘, 탄소 및 산소 증착물들을 제거하는 것을 특징으로 하는 프로세싱 챔버 세정 방법.
  20. 제 14 항에 있어서,
    상기 프로세싱 챔버에서 상기 반응성 산소 종들 및 상기 반응성 질소 종들의 잔광의 발광을 측정하는 단계를 더 포함하는 것을 특징으로 하는 프로세싱 챔버 세정 방법.
KR1020087019985A 2006-02-21 2006-11-21 유전체막들에 대한 원격 플라즈마 소스 세정을 강화시키는 방법 KR20080092448A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US77541406P 2006-02-21 2006-02-21
US60/775,414 2006-02-21
US11/508,544 US20070207275A1 (en) 2006-02-21 2006-08-23 Enhancement of remote plasma source clean for dielectric films
US11/508,544 2006-08-23

Publications (1)

Publication Number Publication Date
KR20080092448A true KR20080092448A (ko) 2008-10-15

Family

ID=38437832

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087019985A KR20080092448A (ko) 2006-02-21 2006-11-21 유전체막들에 대한 원격 플라즈마 소스 세정을 강화시키는 방법

Country Status (5)

Country Link
US (1) US20070207275A1 (ko)
EP (1) EP1991373A4 (ko)
KR (1) KR20080092448A (ko)
TW (1) TW200733215A (ko)
WO (1) WO2007097822A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140104767A (ko) * 2013-02-21 2014-08-29 주성엔지니어링(주) 반도체 프로세싱 챔버의 세정방법
KR101436192B1 (ko) * 2010-07-15 2014-09-01 도쿄엘렉트론가부시키가이샤 박막 형성 장치의 세정 방법, 박막 형성 방법 및 박막 형성 장치
KR101875421B1 (ko) * 2014-03-06 2018-07-06 어플라이드 머티어리얼스, 인코포레이티드 중원자들을 함유하는 화합물들의 플라즈마 저감

Families Citing this family (457)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8057603B2 (en) * 2006-02-13 2011-11-15 Tokyo Electron Limited Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
US20070248767A1 (en) * 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US20080216302A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Methods utilizing organosilicon compounds for manufacturing pre-seasoned components and plasma reaction apparatuses having pre-seasoned components
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
TWI462162B (zh) * 2008-07-17 2014-11-21 Wonik Ips Co Ltd 沈積含碳膜之裝置的清潔方法
CN102197714A (zh) * 2008-10-21 2011-09-21 应用材料股份有限公司 清洁腔室及工艺所用的等离子体源
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8440574B2 (en) * 2009-10-12 2013-05-14 Texas Instruments Incorporated Post chromium alloy plasma etch ashing process
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP2013026265A (ja) * 2011-07-15 2013-02-04 Sony Corp プラズマ処理方法、プラズマ処理装置、及び、半導体装置の製造方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9142393B2 (en) * 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
KR20160119849A (ko) * 2014-02-11 2016-10-14 어플라이드 머티어리얼스, 인코포레이티드 저 rf 바이어스 주파수 애플리케이션들을 사용하여 비정질 탄소 증착 잔여물들을 세정하기 위한 세정 프로세스
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018026509A1 (en) 2016-08-05 2018-02-08 Applied Materials, Inc. Aluminum fluoride mitigation by plasma treatment
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10636626B2 (en) 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
KR20200048162A (ko) * 2018-10-29 2020-05-08 삼성전자주식회사 박막 증착 챔버의 세정 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20210082692A1 (en) * 2019-09-17 2021-03-18 Asm Ip Holding B.V. Method of forming a carbon-containing layer and structure including the layer
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
US11521839B2 (en) 2019-11-27 2022-12-06 Applied Materials, Inc. Inline measurement of process gas dissociation using infrared absorption
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11430641B1 (en) * 2021-07-02 2022-08-30 Applied Materials, Inc. Processing systems and methods to control process drift
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377436A (en) * 1980-05-13 1983-03-22 Bell Telephone Laboratories, Incorporated Plasma-assisted etch process with endpoint detection
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JP3189781B2 (ja) * 1998-04-08 2001-07-16 日本電気株式会社 半導体装置の製造方法
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
JP2002057106A (ja) * 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置のクリーニング方法及び処理装置
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
US7028696B2 (en) * 2001-05-04 2006-04-18 Lam Research Corporation Plasma cleaning of deposition chamber residues using duo-step wafer-less auto clean method
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
CN100465619C (zh) * 2001-06-29 2009-03-04 梅索磅秤技术有限公司 发光测试检测用的检测板、读数系统和方法
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6767836B2 (en) * 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
WO2007027350A2 (en) * 2005-08-02 2007-03-08 Massachusetts Institute Of Technology Method of removing surface deposits and passivating interior surfaces of the interior of a chemical vapour deposition (cvd) chamber
CN101238238A (zh) * 2005-08-02 2008-08-06 麻省理工学院 使用氟化硫从cvd/pecvd腔的内部除去表面沉积物的远程腔方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101436192B1 (ko) * 2010-07-15 2014-09-01 도쿄엘렉트론가부시키가이샤 박막 형성 장치의 세정 방법, 박막 형성 방법 및 박막 형성 장치
KR20140104767A (ko) * 2013-02-21 2014-08-29 주성엔지니어링(주) 반도체 프로세싱 챔버의 세정방법
KR101875421B1 (ko) * 2014-03-06 2018-07-06 어플라이드 머티어리얼스, 인코포레이티드 중원자들을 함유하는 화합물들의 플라즈마 저감

Also Published As

Publication number Publication date
WO2007097822A3 (en) 2008-02-07
US20070207275A1 (en) 2007-09-06
EP1991373A2 (en) 2008-11-19
WO2007097822A2 (en) 2007-08-30
TW200733215A (en) 2007-09-01
EP1991373A4 (en) 2009-07-01

Similar Documents

Publication Publication Date Title
KR20080092448A (ko) 유전체막들에 대한 원격 플라즈마 소스 세정을 강화시키는 방법
US11127589B2 (en) Method of topology-selective film formation of silicon oxide
KR101764166B1 (ko) 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
US9627221B1 (en) Continuous process incorporating atomic layer etching
US7581549B2 (en) Method for removing carbon-containing residues from a substrate
US7780865B2 (en) Method to improve the step coverage and pattern loading for dielectric films
TWI623976B (zh) 保形膜之電漿活化沈積
KR20210028093A (ko) 유전체 층을 포함하는 구조체 및 이를 형성하는 방법
US7371688B2 (en) Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US9142393B2 (en) Method for cleaning reaction chamber using pre-cleaning process
JP5925802B2 (ja) 2段階での均一なドライエッチング
TWI424498B (zh) 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
KR20050034566A (ko) 실리콘 탄화물막을 제조하는 방법
KR20140071402A (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
JP2004134766A (ja) 半導体基板上への成膜方法
CN101378850A (zh) 加强用于介电膜层的远程等离子体源清洁
US11848199B2 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
JP2020502803A (ja) チャンバドリフティングなしで高温処理を可能にする方法
JP2023524253A (ja) ハードマスクの選択性改善のための不活性ガス注入
JP2012169408A (ja) マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
TW201941300A (zh) 形成氣隙的系統及方法
CN115917714A (zh) 通过纯化学手段实现非晶碳硬掩模膜的沉积速率增强
JP2022543953A (ja) 調整された原子層堆積

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application