JP2013026265A - プラズマ処理方法、プラズマ処理装置、及び、半導体装置の製造方法 - Google Patents

プラズマ処理方法、プラズマ処理装置、及び、半導体装置の製造方法 Download PDF

Info

Publication number
JP2013026265A
JP2013026265A JP2011156533A JP2011156533A JP2013026265A JP 2013026265 A JP2013026265 A JP 2013026265A JP 2011156533 A JP2011156533 A JP 2011156533A JP 2011156533 A JP2011156533 A JP 2011156533A JP 2013026265 A JP2013026265 A JP 2013026265A
Authority
JP
Japan
Prior art keywords
semiconductor substrate
plasma processing
plasma
active species
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
JP2011156533A
Other languages
English (en)
Other versions
JP2013026265A5 (ja
Inventor
Nobuyuki Kuboi
信行 久保井
Masanaga Fukazawa
正永 深沢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2011156533A priority Critical patent/JP2013026265A/ja
Priority to TW101121198A priority patent/TW201304002A/zh
Priority to CN2012102293826A priority patent/CN102881549A/zh
Priority to US13/543,796 priority patent/US10074517B2/en
Publication of JP2013026265A publication Critical patent/JP2013026265A/ja
Publication of JP2013026265A5 publication Critical patent/JP2013026265A5/ja
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor

Abstract

【課題】結晶欠陥および金属汚染低減が可能な半導体基体の処理方法、そのための装置、また、それを用いた半導体装置の製造方法を提供する。
【解決手段】CとNとを含む混合ガスをプラズマ化してCN活性種を生成し、生成したCN活性種により半導体基体11の半導体層の表面を処理する。特に、前記処理としては、前記半導体基体11の表面の半導体層を前記CN活性種によりパッシベートすることを含む。さらに、そのことにより、表面汚染金属および半導体中の欠陥を除去する。
【選択図】図1

Description

本技術は、半導体基体のプラズマ処理方法、及び、プラズマ処理装置、並びに、半導体装置の製造方法に係わる。
半導体製造において、半導体基体の結晶欠陥や加工中に生じる金属汚染は、装置特性の劣化に大きな影響を与えることが一般に知られている。例えば、MOS(Metal Oxide Semiconductor)やCCD(Charge Coupled Device)、CMOS(Complementary Metal Oxide Semiconductor)イメージングセンサにおける白点・暗電流等の発生が知られている。また、RTS(Random Telegraph Signal noise)、ゲートI−V特性、さらには太陽電池の変換効率やレーザー出力特性等の装置特性の劣化が知られている。このような、半導体基体の欠陥、汚染をいかに低減するかが半導体装置の製造上の大きな課題の一つとなっている。
近年、HCN溶液中に存在するCN(シアノイオン)を用いて、半導体基体の結晶欠陥や金属汚染を大きく低減する有用な手法が提案されている(特許文献1、特許文献2参照)。この手法ではCNを半導体基体表面及び基体中に存在する金属や結晶欠陥(ダングリングボンド)と選択的に反応させる。そして、安定錯体を形成して金属を除去する、或いは、ダングリングボンドと結合を形成し欠陥をパッシベ−トする。この手法では、室温で十分な反応がおこる。また、紫外線や800℃程度の高熱にも非常に耐性があることが知られている。
HCN溶液を用いる方法では、HCNの強い毒性のため、薬液処理の観点から現状の生産ラインでの使用は非常に困難である。このため、触媒を用いてメタンとアンモニアとからHCNを生成し、HCN使用後は紫外線照射及びオゾン水処理により炭酸ガスと窒素に無毒化・分解する方法が提案されている(特許文献3参照)。
このように、HCNを用いた処理方法は、結晶欠陥および金属汚染低減に対して非常に有効であると考えられている。例えば、シリコン太陽電池に実験レベルで適用され、実際に効率が大きく向上することが報告されている(非特許文献1参照)。
特開平10−74753号公報 特開2005−33038号公報 特開2008−729号公報
O. Maida, A. Asano, M. Takahashi, H. Iwasa, H. Kobayashi, Surf. Sci. 542 (2003) 244
しかしながら、上述のHCNを用いる手法を生産ラインで用いるには、専用の新規処理装置の製造がともない、現実的ではない。
本技術においては、結晶欠陥及び金属汚染を低減することが可能な半導体基体の処理方法を提供するものである。
本技術のプラズマ処理方法は、CとNとを含む混合ガスをプラズマ化してCN活性種を生成し、生成したCN活性種により半導体基体の表面を処理する。
また、本技術の半導体装置の製造方法は、上記プラズマ処理された半導体基体に半導体素子を形成する工程を有する。
また、本技術のプラズマ処理装置は、CとNとを含む混合ガスをプラズマ化し、生成したCN活性種により半導体基体の表面を処理するプラズマ処理部を備える。
上述のプラズマ処理方法及びプラズマ処理装置によれば、毒性の高いHCN溶液やHCNガスを用いることなく、従来の半導体製造工程で用いられているプラズマ処理工程に適用することで、半導体基体の結晶欠陥の修復や金属汚染の除去が可能である。このため、結晶欠陥及び金属汚染に起因する各種装置特性の劣化を抑制した半導体装置を製造することができる。
本技術によれば、結晶欠陥及び金属汚染を低減することが可能な半導体基体の処理方法を提供することができる。
プラズマ処理方法の第1実施形態を説明する概略工程図である。 電子機器の実施の形態の構成を示す図である。 プラズマ処理方法の第2実施形態を説明する概略工程図である。 プラズマ処理方法の第3実施形態を説明する概略工程図である。 プラズマ処理方法の第4実施形態を説明する概略工程図である。 プラズマ処理方法の第5実施形態を説明する概略工程図である。 プラズマ処理方法の第6実施形態を説明する概略工程図である。 プラズマ処理方法の第7実施形態を説明する概略工程図である。 プラズマ処理装置の実施形態の構成を示す図である。 図9に示すプラズマ処理装置を用いた処理フロー図である。
以下、本技術を実施するための最良の形態の例を説明するが、本技術は以下の例に限定されるものではない。
なお、説明は以下の順序で行う。
1.プラズマ処理方法の第1実施形態
2.プラズマ処理方法の第2実施形態
3.プラズマ処理方法の第3実施形態
4.プラズマ処理方法の第4実施形態
5.プラズマ処理方法の第5実施形態
6.プラズマ処理方法の第6実施形態
7.プラズマ処理方法の第7実施形態
8.プラズマ処理装置の実施形態
〈1.プラズマ処理方法の第1実施形態〉
以下、プラズマ処理方法の具体的な実施の形態について説明する。図1に、本実施形態のプラズマ処理方法を説明するための概略工程図を示す。
図1Aに示すように、被処理体となる半導体基体11を準備する。半導体基体11としては、例えば、シリコン基板を準備する。半導体基体11には、汚染金属12、及び、シリコンのダングリングボンド等の結晶欠陥13が存在している。図1Aでは、半導体基体11の汚染金属12の一例としてCuを示している。
[プラズマ処理によるCN生成]
次に、図1Bに示すように、プラズマ処理装置を用いて半導体基体11のプラズマ処理を行う。本実施形態では、C(炭素)元素とN(窒素)元素とを含む混合分子ガスを用いたプラズマ処理により、CNラジカル(CN・)やCNイオン(CN)等のCN活性種を生成させる。
プラズマ処理で用いる混合ガスとしては、CとNとを含む分子混合ガスであれば特に限定されない。例えば、Cを含むガスとしては、CHF、CH、C、C、CO、COH及びCHOH等の半導体装置の製造工程で使用されているガスを用いることができる。Nを含むガスとしては、N及びNH等の半導体装置の製造工程で使用されているガスを用いることができる。さらに、上記CとNとを含む分子混合ガスの希釈用ガスとして、Arガス及びHeガスを用いてもよい。
上記CとNとを含む分子混合ガスとしては、例えば、CHF/N/(Ar)、CH/N/(Ar)、C/N/(Ar)、C/N/(Ar)、CO/N/(Ar)、CHOH/NH/(Ar)、COH/N/(Ar)等の組み合わせを用いることができる。なお、希釈用のAr及びHeは、プラズマが安定して放電できれば、必ずしも必要とはしない。
上述の混合ガスに高周波パワーを加えてプラズマ処理を行う。プラズマ処理は、CCP(Capacitive Coupled Plasma)チャンバを用いて行う。また、CCP装置以外にも、ICP(Inductive Coupled Plasma)装置やECR(Electron Cyclotron Resonance)装置等を用いてもよい。
プラズマ処理の処理時間t、ガス圧力P、チャンバ壁温度Tw及びウェハ温度T等の各条件は、CN活性種による処理効果が高く発揮されるように最適化する。
チャンバに加えるトップパワーはガス解離が十分に起きる程度の高い値、例えば、1000−2000W程度であることが好ましい。バイアスパワーは、半導体基体11上に堆積するポリマー層14をエッチングし、ターゲットとなる半導体基体11をエッチングしない程度の低い値とする。例えば、バイアスパワーを50W以下程度、好ましくは20W程度若しくはこれよりも低い値とする。バイアスパワーは、使用するガス種やポリマー層14の厚さに応じて最適化する。また、使用するガス種によっては、ポリマー層14が形成されない、又は、ほとんど形成されない条件となる場合がある。この場合には、バイアスパワーを用いない条件(0W)でプラズマ処理を行ってもよい。
プラズマ処理の各条件の一例を以下に示す。この例は、CMOSイメージセンサのシリコンフォトダイオード加工直後に、CCPエッチングチャンバを用いてプラズマ処理を行う条件である。
ガス流量:CHF/N/Ar=100/100/500sccm
トップパワー:1000W
バイアスパワー:20W
ガス圧力:30mTorr
壁温度Tw:60℃
ウェハ温度PT:20℃
処理時間t:10秒
上述の条件により、CとNとを含む分子混合ガスをプラズマ化し、CN活性種を生成する。そして、生成したCN活性種に、半導体基体11の表面を曝す。この処理により、CN活性種が半導体基体11表面の汚染金属や、半導体層の結晶欠陥と選択的に反応する。このため、半導体基体11の表面の金属とCN活性種とが安定な金属錯体を形成し、半導体基体11の表面から除去される。
また、半導体基体11の表面の結晶欠陥、例えばシリコンの未結合手等の欠陥にCN活性種が結合する。例えば、シリコン基板中のダングリングボンドとCNが結合してSi−CN結合が形成される。シリコンの結晶欠陥では、シリコンダングリングボンドと4.5eVという強いSi−CN結合を選択的に形成することで、水素シンターの場合(Si−H、3.1eV)よりも安定性の高い修復ができる。この際、シリコン結晶中のCNによってシリコンのバンドギャップ中に新たなエネルギ準位が形成されない。これは、第一原理計算により確かめられている。このように、半導体基体11中の結晶欠陥を修復することにより、半導体基体11中の欠陥準位密度を低減することができる。
上述のプラズマ処理では、多結晶シリコンやアモルファスシリコンで数100nm程度、単結晶シリコンや熱酸化膜で数nm程度、TEOS等のCVD形成による酸化膜で数10nmの深さまでCN活性種が入りこみ、結晶中の欠陥を取り除くことができる。
[ポリマー層除去処理]
次に、プラズマ処理の際に半導体基体11上に形成されるポリマー層14を除去する。
Cを含むガスとして、フッ素系のガスを用いた場合は半導体基体11の表面に薄いポリマー層14が形成される。このため、図1Cに示すように、SC1(NHOH+HSO)等の薬液により1分間処理し、堆積しているポリマー層14を除去する。薬液を用いたウェット処理により、半導体基体11へのエッチング等の損傷を与えずに、ポリマー層14の除去が可能である。
具体的には、アンモニア過酸化水素水(NHOH+H)若しくは硫酸過酸化水素水(HSO+H)等による薬液処理である。ポリマー層14の厚さに応じて、例えば、1分程度の薬液処理を行う。この処理時間は、後述する半導体製造装置のレシピにあらかじめ登録しておき、ポリマー層14の厚さに応じて最適化された時間を用いる。
なお、プラズマ処理工程において選択されるガス種によっては、ポリマー層14が形成されない場合がある。また、プラズマ処理条件を最適化することにより、フッ素系のガスを用いた場合にも、ポリマー層14がエッチングされて堆積しない場合がある。例えば、半導体基体11へのバイアスパワーを20W程度印加することにより、プラズマ処理中に形成されるポリマー層14が、プラズマ励起により同時にエッチングされる。プラズマ処理工程において、これらの条件を最適化することにより、ポリマー層14の形成を抑えることができる。
さらに、ガスの流量(比)を最適化することにより、半導体基体11上へのポリマー層14の形成を抑制することが好ましい。ポリマー層14の形成を抑制することにより、堆積したポリマー層14によるCN活性種と半導体基体11との接触効率の阻害を防ぐことができる。また、薬液によるポリマー層14の除去処理の負担を減らすことができる。
最後に、薬液処理後の半導体基体11の表面を、純水を用いて洗浄する。
上述のプラズマ処理方法は、既存のMOSやイメージングデバイスの製造プロセスにおけるプラズマ処理工程を利用して実施することができる。このため、既存の半導体装置の製造工程への導入が容易である。また、新規な製造装置を導入することなく、既存の生産ラインの製造装置を用いて実行することが可能である。
また、上述のプラズマ処理の実施の有無については、諸所の解析手法によって予測判断できる。例えば、ESR(Electron Spin Resonance)による基体中のダングリングボンド量測定とXPS(X-ray Photoelectron Spectroscopy)によるN量の測定等で判断が可能である。
[本製造方法ないしは本製造装置を使用して製造された半導体装置]
上述のプラズマ処理を施した半導体基体に、各種ダイオード、トランジスタ及び電子部品等の半導体素子(能動素子)を形成して半導体装置を製造することができる。
上述のプラズマ処理は、例えば、シリコン単結晶の他にも、CuOやGaAs等の化合物半導体や酸化物半導体にも適用できる。また、各種不純物がドープされた半導体にも適用できる。例えば、固体撮像素子の画素部を形成するフォトダイオードに、上述のプラズマ処理を適用することにより、フォトダイオードを構成する不純物領域の結晶欠陥を修復することができ、白点や暗電流の発生を低減することができる。また、例えばpn接合型の太陽電池を構成する半導体基体に上述のプラズマ処理を適用することにより、結晶欠陥を修復することができ、変換効率の向上が可能となる。この半導体基体としては、単結晶型、多結晶型、アモルファス型等のいずれも適用可能である。
上述のプラズマ処理を施した半導体基体に形成する各種半導体素子は、従来公知の方法により製造可能である。また、上述のプラズマ処理は、半導体素子を形成する前の半導体基体に施してもよく、また、半導体素子を形成した後の半導体基体に施してもよい。半導体基体に形成したトランジスタのゲート絶縁膜やゲート電極、素子分離、及び、基体上に形成したポリシリコン層、アモルファスシリコン層、並びに、これらに不純物がイオン注入された領域においても、上述のプラズマ処理による結晶欠陥の修復が可能である。
[電子機器]
上述のプラズマ処理が適用されて製造された半導体装置を用いて電子機器を構成することができる。この電子機器の一例として、上述のプラズマ処理が適用された固体撮像素子を備える、静止画像又は動画撮影可能なビデオカメラの構成を図2に示す。固体撮像素子は、例えば、デジタルカメラやビデオカメラ等のカメラシステム、撮像機能を有する携帯電話、又は、撮像機能を備えた他の機器等の電子機器に適用することができる。
この例のカメラ20は、固体撮像素子21と、固体撮像素子21の受光センサ部に入射光を導く光学系22と、固体撮像素子21及び光学系22間に設けられたシャッタ装置23と、固体撮像素子21及びシャッタ装置23を駆動する駆動回路24とを備える。さらに、カメラ20は、固体撮像素子21の出力信号を処理する信号処理回路25を備える。
固体撮像素子21は、上述したプラズマ処理が適用された半導体基体を用いて製造された半導体装置を適用することができる。
光学系(光学レンズ)22は、被写体からの像光(入射光)を固体撮像素子21の撮像面(不図示)上に結像させる。これにより、固体撮像素子21内に、一定期間、信号電荷が蓄積される。なお、光学系22は、複数の光学レンズを含む光学レンズ群で構成してもよい。また、シャッタ装置23は、入射光の固体撮像素子21への光照射期間及び遮光期間を制御する。
駆動回路24は、固体撮像素子21及びシャッタ装置23に駆動信号を供給する。そして、駆動回路24は、供給した駆動信号により、固体撮像素子21の信号処理回路25への信号出力動作、及び、シャッタ装置23のシャッタ動作を制御する。すなわち、この例では、駆動回路24から供給される駆動信号(タイミング信号)により、固体撮像素子21から信号処理回路25への信号転送動作を行う。
信号処理回路25は、固体撮像素子21から転送された信号に対して、各種の信号処理を施す。そして、各種信号処理が施された信号(映像信号)は、メモリなどの記憶媒体(不図示)に記憶される、又は、モニタ(不図示)に出力される。
上述の固体撮像素子は、可視光の入射光量の分布を検知して画像として撮像する固体撮像素子への適用に限らず、赤外線やX線、あるいは粒子等の入射量の分布を画像として撮像する固体撮像素子に適用可能である。また、広義の意味として、圧力や静電容量など、他の物理量の分布を検知して画像として撮像する指紋検出センサ等の固体撮像素子(物理量分布検知装置)全般に対して適用可能である。
さらに、上述の固体撮像素子は、画素アレイ部の各単位画素を行単位で順に走査して各単位画素から画素信号を読み出す固体撮像素子に限らない。例えば、画素単位で任意の画素を選択して、当該選択画素から画素単位で信号を読み出すX−Yアドレス型の固体撮像素子に対しても適用可能である。
なお、固体撮像素子はワンチップとして形成された形態であってもよいし、撮像部と、信号処理部または光学系とがまとめてパッケージングされた撮像機能を有するモジュール状の形態であってもよい。
また、本実施形態では電子機器の一例としてカメラについて述べたが、高い画素特性・ロジック特性・エネルギ出力特性を持った半導体デバイス(例えば、CCDやCIS(CMOS Image Sensor)といったセンサーデバイス、メモリーデバイス、レーザーデバイス、ディスプレイデバイス、太陽電池に代表されるエネルギーデバイスなど)等を備える電子機器にも適用可能である。
〈2.プラズマ処理方法の第2実施形態〉
次に、プラズマ処理方法の第2実施形態について説明する。本実施形態のプラズマ処理方法を説明するための概略工程を図3に示す。
まず、図3Aに示すように、被処理体となる半導体基体11を準備する。そして、この半導体基体11上に、例えば、熱酸化法により2nm程度の酸化膜15を形成する。この酸化膜15は、半導体装置に搭載する半導体素子、例えばMOSFETを形成する際のゲート絶縁膜となる。また、半導体基体11には、図3Aに示すように汚染金属12と結晶欠陥13とが存在する。
本実施形態では、形成した酸化膜15上から半導体基体11の半導体層表面にプラズマ処理を行う。
次に、図3Bに示すように、上述の第1実施形態と同様の方法でC元素とN元素とを含む混合分子ガスをプラズマ化して、CNラジカル(CN・)やCNイオン(CN)等のCN活性種を生成する。そして、生成したCN活性種に、酸化膜15を形成した半導体基体11の表面を曝す。
この処理により、CN活性種が酸化膜15の表面の汚染金属と選択的に反応する。そして、半導体基体11の表面の金属とCN活性種とが安定な金属錯体を形成し、半導体基体11の表面から除去される。
また、CN活性種が酸化膜15を透過し、半導体基体11の半導体層の表面の結晶欠陥と選択的に反応する。このため、表面に酸化膜15が形成されている場合にも、半導体基体11の半導体層の表面、例えばシリコンの未結合手等の欠陥にCN活性種が結合し、結晶欠陥を修復することができる。
次に、プラズマ処理の際に半導体基体11上に形成されるポリマー層14を除去する。
Cを含むガスとしてフッ素系のガスを用いた場合、半導体基体11の表面には薄いポリマー層14が形成される。このため、図3Cに示すように、薬液を用いて半導体基体11をウェットエッチング処理し、堆積しているポリマー層14を除去する。プラズマ処理をポリマー層14が形成されない条件で行った場合には、このポリマー層14の除去処理は行わなくてもよい。
最後に、純水を用いて半導体基体11の表面を洗浄する。
上述のように、半導体基体11に酸化膜15を形成した場合にも、酸化膜15上から半導体基体11の表面をプラズマ処理することで、半導体基体11の結晶欠陥を修復することができる。例えば、上述の熱酸化膜等の緻密な酸化膜の場合には、数nm程度まで半導体基体11の処理が可能である。また、TEOS等の密度の粗い酸化膜の場合には、さらに厚い酸化膜、例えば数10nm程度の厚さの酸化膜が形成されていても酸化膜下の半導体層の処理が可能である。
酸化膜が形成された半導体基体11をプラズマ処理することにより、半導体基体11の半導体層と酸化膜15との界面に存在する結晶欠陥、例えば、Si/SiO界面の結晶欠陥を低減することができる。従って、トランジスタゲートのI−V特性の向上、RTSノイズの低減等が可能となる。
〈3.プラズマ処理方法の第3実施形態〉
次に、プラズマ処理方法の第3実施形態について説明する。本実施形態のプラズマ処理方法を説明するための概略工程を図4に示す。
まず、図4Aに示すように、被処理体となる半導体基体11を準備する。そして、この半導体基体11に、例えば、RIE(Reactive Ion Etching)等によりトレンチ16を形成する。このトレンチ16は、例えば、半導体装置に形成する固体撮像素子の画素内で遮光構造となる堀込みである。また、トレンチ16は、STI(Shallow Trench Isolation)を形成するためのトレンチや、縦型トランジスタを形成する際のゲート電極形成用のトレンチ等である。また、半導体基体11には、図4Aに示すように汚染金属12と結晶欠陥13が存在する。
本実施形態では、半導体基体11の表面と、形成したトレンチ16内に露出する面とにプラズマ処理を行う。
次に、図4Bに示すように、上述の第1実施形態と同様の方法でC元素とN元素とを含む混合分子ガスをプラズマ化して、CNラジカル(CN・)やCNイオン(CN)等のCN活性種を生成する。そして、生成したCN活性種に、半導体基体11を曝すことにより、半導体基体11の表面及びトレンチ16の内面がCN活性種により処理される。
この処理により、CN活性種が半導体基体11の表面及びトレンチ16内の汚染金属と選択的に反応する。そして、金属とCN活性種とが安定な金属錯体を形成し、半導体基体11上から除去される。
また、半導体基体11の表面と、トレンチ16の内面に露出されている面とから、CN活性種が半導体基体11に侵入する。このため、半導体基体11の表面からトレンチ16の深部まで、半導体基体11の結晶欠陥とCN活性種が選択的に反応し、半導体基体11の結晶欠陥を修復することができる。
次に、プラズマ処理の際に半導体基体11上に形成されるポリマー層14を除去する。本実施形態では、トレンチ16内にもポリマー層14が堆積する。このため、図4Cに示すように、薬液を用いて半導体基体11をウェットエッチング処理し、堆積しているポリマー層14を除去する。プラズマ処理をポリマー層14が形成されない条件で行った場合には、このポリマー層14の除去処理は行わなくてもよい。そして、最後に、純水を用いて半導体基体11の表面を洗浄する。
上述のように、半導体基体11にトレンチ16を形成した場合にも、形成したトレンチ16の内面ごと半導体基体11のプラズマ処理が可能である。このため、半導体基体11、及び、トレンチ側壁及び底部に形成されている結晶欠陥及び金属汚染を低減することができる。
従って、例えば、トレンチが固体撮像素子の画素内で遮光構造となる堀込みの場合やSTI形成用の場合には、白点や暗電流の体願が可能となる。また、トレンチが縦型トランジスタを形成する際のゲート電極形成用である場合には、ゲート電極の底面及び側面に存在する結晶欠陥及び金属汚染を低減することができ、飽和電荷量(Qs)の向上が期待できる。
なお、本実施形態において、半導体基体11上及びトレンチ16の内壁には、第2実施形態のように酸化膜が形成されていてもよい。酸化膜が形成されている場合にも同様に、半導体基体11上及びトレンチ16内のプラズマ処理が可能である。
また、トレンチ形成を行うRIE等のエッチングチャンバと、CN活性種による表面処理を行うプラズマ処理チャンバとは、使用するガス種や処理条件を変更することにより、同じ処理装置で行うことが可能である。また、別々の装置により各処理を行ってもよい。
〈4.プラズマ処理方法の第4実施形態〉
次に、プラズマ処理方法の第4実施形態について説明する。本実施形態のプラズマ処理方法を説明するための概略工程を図5に示す。
まず、図5Aに示すように、被処理体となる半導体基体11を準備する。そして、この半導体基体11上に、例えば、熱酸化法によりに2nm程度の酸化膜15を形成する。さらに、酸化膜15上にポリシリコン層を形成する。形成したポリシリコン層を、フォトリソグラフィ技術を用いてゲート電極状に加工し、ポリシリコンゲート電極19を形成する。
酸化膜15及びポリシリコンゲート電極19は、半導体装置に搭載する半導体素子、例えば、MOSFETを形成する際のゲート絶縁膜及びゲート電極である。また、半導体基体11には、図5Aに示すように汚染金属12と結晶欠陥13とが存在する。
本実施形態では、形成したポリシリコンゲート電極19と半導体基体11とに同時にプラズマ処理を行う。半導体基体11のプラズマ処理は、形成した酸化膜15上から行う。
次に、図5Bに示すように、上述の第1実施形態と同様に、C元素とN元素とを含む混合分子ガスをプラズマ化して、CNラジカル(CN・)やCNイオン(CN)等のCN活性種を生成する。そして、生成したCN活性種に、酸化膜15を形成した半導体基体11の表面、及び、ポリシリコンゲート電極19を曝す。
この処理により、CN活性種が酸化膜15及びポリシリコンゲート電極19の表面の汚染金属と選択的に反応する。そして、汚染金属とCN活性種とが安定な金属錯体を形成し、半導体基体11から除去される。
また、CN活性種が、ポリシリコンゲート電極19の結晶欠陥と選択的に反応する。さらに、CN活性種がポリシリコンゲート電極19及び酸化膜15を透過し、半導体基体11表面の結晶欠陥と選択的に反応する。このため、表面にポリシリコンゲート電極19及び酸化膜15が形成されている場合にも、半導体基体11の表面の結晶欠陥、例えばシリコンの未結合手等の欠陥にCN活性種が結合し、欠陥を修復することができる。
次に、プラズマ処理の際に半導体基体11上に形成されるポリマー層14を除去する。本実施形態では、ポリシリコンゲート電極19上にもポリマー層14が堆積する。このため、図5Cに示すように、薬液を用いて半導体基体11をウェットエッチング処理し、堆積しているポリマー層14を除去する。プラズマ処理をポリマー層14が形成されない条件で行った場合には、このポリマー層14の除去処理は行わなくてもよい。そして、最後に、純水を用いて半導体基体11の表面を洗浄する。
上述のように、ポリシリコンゲート電極を形成した場合にも、ポリシリコンゲート電極19及び酸化膜15上から半導体基体11の表面をプラズマ処理することで、半導体基体11の結晶欠陥を修復することができる。また、半導体基体11の結晶欠陥の修復と同時に、形成したポリシリコンゲート電極19の結晶欠陥を修復することができる。
ポリシリコンやアモルファスシリコンの場合には、数100nm程度まで、CN活性種が侵入する。このため、ポリシリコンゲート電極19に加えて、ポリシリコンゲート電極19下に形成されているゲート絶縁膜、さらに、このゲート絶縁膜15下に形成されている半導体基体11に、CN活性種が侵入する。
この結果、ポリシリコンゲート電極の結晶欠陥、及び、ポリシリコンゲート電極とゲート絶縁膜との界面に存在する結晶欠陥を低減することができる。さらに、半導体基体11と酸化膜との界面に存在する結晶欠陥を低減することができる。従って、上述のポリシリコンゲート電極及びゲート絶縁膜を備える半導体装置のリーク電流の低減、トランジスタゲートのI−V特性の向上、RTSノイズの低減等が可能となる。
また、本実施形態のプラズマ処理後に、半導体基体11にイオン注入を行うことにより、酸化膜15及びポリシリコンゲート電極19を備えるMOSFET等の半導体装置を製造することができる。また、予めイオン注入した半導体基体11に、上述の酸化膜15及びポリシリコンゲート電極19を形成し、本実施形態のプラズマ処理を行ってもよい。
このように、本実施形態のプラズマ処理では、従来公知の半導体製造工程に適用することで、上述の結晶欠陥及び汚染金属の低減による作用を有する半導体装置を製造することができる。
〈5.プラズマ処理方法の第5実施形態〉
次に、プラズマ処理方法の第5実施形態について説明する。本実施形態のプラズマ処理方法を説明するための概略工程を図6に示す。
まず、図6Aに示すように、被処理体となる半導体基体11を準備する。そして、この半導体基体11上に、例えば、熱酸化法により2nm程度の酸化膜15を形成する。さらに、酸化膜15上にポリシリコン層を形成した後、フォトリソグラフィ技術を用いてポリシリコン層をゲート電極状に加工し、ポリシリコンゲート電極19を形成する。
ポリシリコンゲート電極19の形成後、ポリシリコンゲート電極19を覆って、酸化膜15上の全面を覆って、サイドウォール17となる3層の絶縁層17A,17B,17Cを形成する。絶縁層17A及び絶縁層17Cは、例えばTEOS(tetra-ethyl-ortho-silicate)層を形成する。また、絶縁層17Bは、例えばSiN層を形成する。
そして、絶縁層17A,17B,17Cをエッチバックすることにより、ポリシリコンゲート電極19の側壁にサイドウォール17を形成する。さらに、ポリシリコンゲート電極19及びサイドウォール17下を除き、半導体基体11上の酸化膜15を除去する。
酸化膜15、ポリシリコンゲート電極19及びサイドウォール17は、半導体装置に搭載する半導体素子となる構成である。また、半導体基体11には、図6Aに示すように汚染金属12と結晶欠陥13とが存在する。
本実施形態では、サイドウォール17の形成後に、ポリシリコンゲート電極19と、半導体基体11とに同時にプラズマ処理を行う。
次に、図6Bに示すように、上述の第1実施形態と同様の方法でC元素とN元素とを含む混合分子ガスをプラズマ化して、CNラジカル(CN・)やCNイオン(CN)等のCN活性種を生成する。そして、生成したCN活性種に、半導体基体11の表面、ポリシリコンゲート電極19、及び、サイドウォール17を曝す。
この処理により、CN活性種が半導体基体11、ポリシリコンゲート電極19及びサイドウォール17の表面の汚染金属と選択的に反応する。そして、汚染金属とCN活性種とが安定な金属錯体を形成し、半導体基体11から除去される。
また、CN活性種が、ポリシリコンゲート電極19の結晶欠陥と選択的に反応する。さらに、CN活性種がポリシリコンゲート電極19、サイドウォール17及び酸化膜15を透過し、半導体基体11表面の結晶欠陥と選択的に反応する。このため、表面にポリシリコンゲート電極19及びサイドウォール17が形成されている場合にも、半導体基体11の表面の結晶欠陥、例えばシリコンの未結合手等の欠陥にCN活性種が結合し、欠陥を修復することができる。
次に、プラズマ処理の際に半導体基体11上に形成されるポリマー層14を除去する。本実施形態では、ポリシリコンゲート電極19及びサイドウォール17上にもポリマー層14が堆積する。このため、図6Cに示すように、薬液を用いて半導体基体11をウェットエッチング処理し、堆積しているポリマー層14を除去する。プラズマ処理をポリマー層14が形成されない条件で行った場合には、このポリマー層14の除去処理は行わなくてもよい。そして、最後に、純水を用いて半導体基体11の表面を洗浄する。
上述のように、サイドウォールを形成した場合にも、ポリシリコンゲート電極及びサイドウォール上から半導体基体11の表面をプラズマ処理することで、半導体基体11の結晶欠陥を修復することができる。また、半導体基体11の結晶欠陥の修復と同時に、形成したポリシリコンゲート電極の結晶欠陥を修復することができる。
この結果、上述の第4実施形態と同様に、ポリシリコンゲート電極の結晶欠陥、及び、ポリシリコンゲート電極とゲート絶縁膜との界面に存在する結晶欠陥を低減することができる。さらに、半導体基体11とゲート絶縁膜との界面に存在する結晶欠陥を低減することができる。従って、上述のポリシリコンゲート電極及びゲート絶縁膜を備える半導体装置のリーク電流の低減、トランジスタゲートのI−V特性の向上、RTSノイズの低減等が可能となる。
また、半導体基体11に予めイオン注入により不純物領域を形成し、上述の酸化膜15、ポリシリコンゲート電極19及びサイドウォールを形成した後、本実施形態のプラズマ処理を行ってもよい。これにより、上述の結晶欠陥及び汚染金属が低減にされたMOSFET等を備える半導体装置を製造することができる。
このように、本実施形態のプラズマ処理では、従来公知の半導体製造工程に適用することで、半導体装置を製造することができる。
〈6.プラズマ処理方法の第6実施形態〉
次に、プラズマ処理方法の第6実施形態について説明する。本実施形態のプラズマ処理方法を説明するための概略工程を図7に示す。
まず、図7Aに示すように、被処理体となる半導体基体11を準備する。そして、この半導体基体11上に、例えば、熱酸化法により2nm程度の酸化膜15を形成する。さらに、酸化膜15上にポリシリコン層を形成した後、フォトリソグラフィ技術を用いてポリシリコン層をゲート電極状に加工し、ポリシリコンゲート電極19を形成する。
ポリシリコンゲート電極19の形成後、ポリシリコンゲート電極19を覆って、酸化膜15上の全面を覆って、サイドウォール17となる3層の絶縁層17A,17B,17Cを形成する。絶縁層17A及び絶縁層17Cは、例えばTEOS(tetra-ethyl-ortho-silicate)層を形成する。また、絶縁層17Bは、SiN層を形成する。
そして、絶縁層17A,17B,17Cをエッチバックすることにより、ポリシリコンゲート電極19の側壁にサイドウォール17を形成する。さらに、サイドウォール17から露出する酸化膜15を除去する。
そして、ポリシリコンゲート電極19及びサイドウォール17を覆って半導体基体11の全面に層間絶縁層18を形成する。層間絶縁層18の形成後、フォトリソグラフィ技術及び異方性エッチングを用いて所定の位置に、半導体基体11表面まで貫通する貫通孔18Aを形成する。図7Aでは一例として、サイドウォール17の一部が除去される位置に貫通孔18Aを形成している。
酸化膜15、ポリシリコンゲート電極19及びサイドウォール17は、半導体装置に搭載する半導体素子となる。層間絶縁層18の貫通孔18A内に導電体を形成することにより、図示しない半導体基体11上の配線と層間絶縁層18上の配線とを接続することができる。また、半導体基体11には、図7Aに示すように汚染金属12と結晶欠陥13とが存在する。
本実施形態では、層間絶縁層18の貫通孔18A形成後に、貫通孔18Aの底面に露出する半導体基体11の表面にプラズマ処理を行う。
次に、図7Bに示すように、上述の第1実施形態と同様の方法でC元素とN元素とを含む混合分子ガスをプラズマ化して、CNラジカル(CN・)やCNイオン(CN)等のCN活性種を生成する。そして、生成したCN活性種に、層間絶縁層18、及び、貫通孔18Aの底面に露出する半導体基体11の表面を曝す。
この処理により、CN活性種が層間絶縁層18の表面、貫通孔18Aの内面、及び、貫通孔18A内の半導体基体11の表面の汚染金属と選択的に反応する。そして、汚染金属とCN活性種とが安定な金属錯体を形成し、半導体基体11から除去される。
また、CN活性種が、貫通孔18Aの底面に露出する半導体基体11の表面の結晶欠陥と選択的に反応し、半導体基体11の表面の結晶欠陥、例えばシリコンの未結合手等の欠陥にCN活性種が結合し、欠陥を修復することができる。
次に、プラズマ処理の際に半導体基体11上に形成されるポリマー層14を除去する。本実施形態では、層間絶縁層18上、貫通孔18Aの内壁、及び、貫通孔18Aの底面の半導体基体11上にポリマー層14が堆積する。このため、図7Cに示すように、薬液を用いて半導体基体11をウェットエッチング処理し、堆積しているポリマー層14を除去する。プラズマ処理をポリマー層14が形成されない条件で行った場合には、このポリマー層14の除去処理は行わなくてもよい。そして、最後に、純水を用いて半導体基体11の表面を洗浄する。
上述のように、層間絶縁層18を形成し、この層間絶縁層18の貫通孔18Aから露出する半導体基体11の表面にも、プラズマ処理による汚染金属と結晶欠陥の低減が可能である。この結果、半導体装置のリーク電流の低減及びI−V特性の向上が可能となる。
〈7.プラズマ処理方法の第7実施形態〉
次に、プラズマ処理方法の第7実施形態について説明する。本実施形態のプラズマ処理方法を説明するための概略工程を図8に示す。
まず、図8Aに示すように、被処理体となる半導体基体11を準備する。この半導体基体11上には、アモルファス層10が形成されている。アモルファス層10は、例えば、半導体装置の製造工程において、シリコン基板を高パワー、高ドーズ条件でエッチング加工した際に、半導体基体11上に堆積する層である。
次に、図8Bに示すようにアモルファス層10を、CDE(Chemical Dry Etching)処理をして除去する。CDE処理は、例えば、CF/O=50/50sccm、20Paの条件で行う。
次に、図8Cに示すように、上述の第1実施形態と同様の方法でC元素とN元素とを含む混合分子ガスをプラズマ化して、CNラジカル(CN・)やCNイオン(CN)等のCN活性種を生成する。そして、生成したCN活性種に、半導体基体11の表面を曝す。
このとき、CN活性種を用いるプラズマ処理の処理温度は、第1実施形態に示すようにチャンバ壁温度Twが60℃、ウェハ温度PTが20℃である。このため、半導体基体11上に、耐熱性の低い材料や部品が形成されている場合にも処理温度が低いため、損傷を与えることなくプラズマ処理が可能となる。
次に、プラズマ処理の際に半導体基体11上に形成されるポリマー層14を除去する。Cを含むガスとして、フッ素系のガスを用いた場合、半導体基体11の表面には薄いポリマー層14が形成される。このため、図8Dに示すように、薬液を用いて半導体基体11をウェットエッチング処理し、堆積しているポリマー層14を除去する。プラズマ処理をポリマー層14が形成されない条件で行った場合には、このポリマー層14の除去処理は行わなくてもよい。
最後に、純水を用いて半導体基体11の表面を洗浄する。
従来のアモルファス層が堆積した半導体基体11の一般的な処理方法では、アニール処理を行って半導体基体11の結晶性を回復する。しかし、半導体基体11上に耐熱性の低い有機部材や樹脂部材等が形成されている場合には、高温のアニール処理ができない。これに対し、本実施形態のCN活性種を用いるプラズマ処理は、通常の有機部材や樹脂部材等の耐熱温度よりも処理温度が低く、耐熱性の低い部材に損傷を与えることがない。従って、複数の工程を経て耐熱性の低い材料が形成されている半導体装置にも、本実施形態のプラズマ処理方法を適用することができる。
〈8.プラズマ処理装置の実施形態〉
次に、上述のプラズマ処理方法に適用するプラズマ処理装置の実施形態について説明する。図9に本実施形態のプラズマ処理装置の概略構成を示す。また、図10に、図9に示すプラズマ処理装置を用いたプラズマ処理方法の処理フロー図を示す。
[プラズマ処理装置]
図9に示すプラズマ処理装置30は、ロット設置スペース31,36、搬送系(ロードロック室)37、プラズマ処理チャンバ32、ポリマー層厚測定チャンバ33、有機物除去チャンバ34、及び、純水処理チャンバ35から構成される。
ロット設置スペース31には、処理前の半導体基体が収容されている。ロット設置スペース36には、処理後の半導体基体が収容される。ロット設置スペース31,36及び各チャンバ間での半導体基体の移動は、ロードロック室37を経由して行われる。また、各チャンバ及びロードロック室37は真空に保たれている。
プラズマ処理チャンバ32は、既知のプラズマ処理チャンバを利用する。プラズマ処理チャンバ32は、例えば、OES(Optical Emission Spectrometry)システム、ガス除害装置、上述のプラズマ処理プロセスのレシピを含むソフトウェア及び制御システムを含んで構成される。
ソフトウェアには、上述のプラズマ処理プロセスのレシピとして、トップパワー、バイアスパワー、チャンバ壁温度、ウェハ温度、ガス圧力、ガス流量(比)等の各種条件が格納されている。そして、ソフトウェアのレシピと制御システムとを用いて、プラズマ生成を制御してCN活性種の生成を行う。
また、OESシステムにより、プラズマ処理中のCN発光強度をモニタリングし、発光強度変動に従って、ソフトウェアと制御システムによりOクリーニングステップを行う。
プラズマ処理チャンバ32は、毒性の高いガスが使用される、或いは、プラズマチャンバ内でCNガス等の毒性の高いガスが生成されるため、これらのガスの除害装置を備える。
また、プラズマ処理チャンバ32には、図示しないガス供給部が接続されている。ガス供給部は、半導体基体を処理するCN活性種を生成するためのCとNとを含む混合ガスの供給部を含む。また、希釈用のArガスや、プラズマ処理チャンバ32において行われる他の処理で使用されるガスの供給部を含む。
ポリマー層厚測定チャンバ33は、例えば、in−situ測定装置、制御システム及びデータベースを含んで構成される。
ポリマー層厚測定チャンバ33は、例えばXPS(X-ray Photoelectron Spectroscopy)や分光エリプソメトリ等のin−situ測定が可能な測定装置と、in−situ測定装置の制御システムとを備える。また、データベースには、ポリマー層厚と薬液処理時間との関係が格納されている。
有機物除去チャンバ34は、例えば、薬液装置、薬液処理のレシピを含むソフトウェア、制御システム及び廃液装置を含んで構成される。
ソフトウェアには、薬液処理のレシピとして各種処理条件が格納されている。薬液処理の各種条件は、ポリマー層厚測定チャンバ33のデータベースに格納されたポリマー層厚と薬液処理時間との関係から抽出される。そして、ソフトウェアのレシピと制御システムとを用いて、半導体基体上に堆積したポリマー層を除去するための薬液処理を行う。薬液装置は、薬液処理を行うための薬液、例えばHSO、H、NHOH等を有機物除去チャンバ34に供給する。また、廃液装置により、薬液処理に使用された薬液が回収される。
純水処理チャンバ35は、例えば、薬液装置、純水処理のレシピを含むソフトウェア、制御システム及び廃液装置を含んで構成される。
純水処理チャンバ35で、半導体基体表面の汚れ、異物等を除去するために純水を用いて洗浄する。薬液装置は、半導体基体を洗浄するための純水、及び、半導体基体洗浄用の各種洗浄液等を純水処理チャンバ35に供給する。そして、ソフトウェアに格納されたレシピと制御システムを用いて、半導体基体の洗浄を行う。また、廃液装置により、洗浄に使用された薬液が回収される。
[処理フロー]
次に、上述の図9に示すプラズマ処理装置30を用いたプラズマ処理方法の処理フローを、図10を用いて説明する。
まず、ロット設置スペース31に置かれた半導体基体は、中央のロードロック室37を通り、プラズマ処理チャンバ32へ送られる。そして、ロット設置スペース31からプラズマ処理チャンバに移送された半導体基体のプラズマ処理を行う。
CCP、ICP、ECR等を備えるプラズマ処理チャンバ32では、上述のプラズマ処理方法の実施形態と同様に、トップパワー、バイアスパワー、チャンバ壁温度、ウェハ温度、ガス圧力、ガス流量(比)等のレシピに従ってプラズマ生成を制御し、CN活性種による表面処理を行う。
また、プラズマ処理中は、OESシステムによりCN発光強度(例えば、波長387nmの発光)を常にモニタリングし、その発光強度変動に従って処理ウェハ間でOクリーニングステップを自動で行う。例えば、reference強度の20%以上の発光強度変動に対してOクリーニングステップを行う。また、Oクリーニングステップは、例えば、Oの流量500sccm、トップパワー/バイアスパワー=1000/100Wで1分間行う。
次に、上述のプラズマ処理後、半導体基体がロードロック室37を通り、ポリマー層厚測定チャンバ33に送られる。そして、ポリマー層厚測定チャンバ33で半導体基体上に堆積したポリマー層の厚さを測定する。ポリマー層厚測定チャンバ33では、実装された膜厚測定機でポリマー層厚をin−situ測定する。そして、ポリマー層の厚さの実測値と、データベースの膜厚−処理時間の関係との比較から、後工程の薬液処理時間を自動決定する。
測定後の半導体基体はロードロック室37を通って有機物除去チャンバ34に送られ、指定された時間で薬液処理される。このチャンバには、処理に必要なHSO、H、NHOHタンクから薬液が供給され、自動決定された処理時間で薬液処理を行う。
薬液処理後、半導体基体はロードロック室37を通って純水処理チャンバ35に送られ、表面洗浄される。すべての処理が終わった半導体基体はロードロック室37を通り、ロット設置スペース36に搬送される。
以上の処理フローにより、半導体基体のプラズマ処理を行うことができる。
なお、上述の各実施形態におけるプラズマ処理の各条件は、第1実施形態で例示した条件と、同じ条件としてもよく、また、使用するガス種や、処理時間t、ガス圧力P、チャンバ壁温度Tw及びウェハ温度T等の各条件は、適宜変更することができる。プラズマ処理の各種条件は、半導体基体の種類や使用するガス種に応じて、CN活性種による処理効果が高く発揮されるよう最適化する。
また、上述の第2実施形態から第7実施形態によるプラズマ処理方法においても、上述の第1実施形態と同様に、半導体基体に半導体素子等を形成することで、半導体装置の製造方法に適用できる。また、第2実施形態から第7実施形態によるプラズマ処理方法を適用した半導体装置を用いて、第1実施形態と同様に電子機器を構成することができる。
なお、本開示は以下のような構成も取ることができる。
(1)CとNとを含む混合ガスをプラズマ化してCN活性種を生成し、生成した前記CN活性種により半導体基体の半導体層の表面を処理するプラズマ処理方法。
(2)前記半導体基体の表面の半導体層を前記CN活性種によりパッシベートする(1)に記載のプラズマ処理方法。
(3)CHF、CH、C、C、CO、COH及びCHOHから選ばれる少なくとも1種類以上を含む前記混合ガスを用いる(1)又は(2)に記載のプラズマ処理方法。
(4)半導体基体に加えるバイアスパワーが0W以上50W以下である(1)から(3)のいずれかに記載のプラズマ処理方法。
(5)前記プラズマ化する際のトップパワーが1000W以上2000W以下である(1)から(4)のいずれかに記載のプラズマ処理方法。
(6)前記CN活性種により前記半導体基体を処理した後、前記半導体基体上に堆積したポリマー層を薬液により除去する(1)から(5)のいずれかに記載のプラズマ処理方法。
(7)上記(1)から(6)のいずれかに記載されたプラズマ処理工程と、前記半導体基体に半導体素子を形成する工程とを有する半導体装置の製造方法。
(8)上記(1)から(6)のいずれかに記載されたプラズマ処理を行うプラズマ処理部を備えるプラズマ処理装置。
(9)前記プラズマ処理部は、前記CN活性種を生成するプラズマ処理条件のレシピを含むソフトウェアを備える(8)に記載のプラズマ処理装置。
(10)前記プラズマ処理部は、CNガスの除害装置を備える(8)又は(9)に記載のプラズマ処理装置。
10 アモルファス層、11 半導体基体、12 汚染金属、13 結晶欠陥、14 ポリマー層、15 酸化膜、16 トレンチ、17 サイドウォール、17A,17B,17C 絶縁層、18 層間絶縁層、18A 貫通孔、19 ポリシリコンゲート電極、20 カメラ、21 固体撮像素子、22 光学系、23 シャッタ装置、24 駆動回路、25 信号処理回路、30 プラズマ処理装置、31,36 ロット設置スペース、32 プラズマ処理チャンバ、33 ポリマー層厚測定チャンバ、34 有機物除去チャンバ、35 純水処理チャンバ、37 搬送系(ロードロック室)

Claims (10)

  1. CとNとを含む混合ガスをプラズマ化してCN活性種を生成し、生成した前記CN活性種により半導体基体の表面を処理する
    プラズマ処理方法。
  2. 前記半導体基体の表面の半導体層を前記CN活性種によりパッシベートする請求項1に記載のプラズマ処理方法。
  3. CHF、CH、C、C、CO、COH及びCHOHから選ばれる少なくとも1種類以上を含む前記混合ガスを用いる請求項1に記載のプラズマ処理方法。
  4. 半導体基体に加えるバイアスパワーが0W以上50W以下である請求項1に記載のプラズマ処理方法。
  5. 前記プラズマ化する際のトップパワーが1000W以上2000W以下である請求項1に記載のプラズマ処理方法。
  6. 前記CN活性種により前記半導体基体を処理した後、前記半導体基体上に堆積したポリマー層を薬液により除去する請求項1に記載のプラズマ処理方法。
  7. CとNとを含む混合ガスをプラズマ化してCN活性種を生成し、生成した前記CN活性種により半導体基体の表面を処理するプラズマ処理工程と、
    前記半導体基体に半導体素子を形成する工程と、を有する
    半導体装置の製造方法。
  8. CとNとを含む混合ガスをプラズマ化し、生成したCN活性種により半導体基体の表面を処理するプラズマ処理部を備える
    プラズマ処理装置。
  9. 前記プラズマ処理部は、前記CN活性種を生成するプラズマ処理条件のレシピを含むソフトウェアを備える請求項8に記載のプラズマ処理装置。
  10. 前記プラズマ処理部は、CNガスの除害装置を備える請求項8に記載のプラズマ処理装置。
JP2011156533A 2011-07-15 2011-07-15 プラズマ処理方法、プラズマ処理装置、及び、半導体装置の製造方法 Abandoned JP2013026265A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2011156533A JP2013026265A (ja) 2011-07-15 2011-07-15 プラズマ処理方法、プラズマ処理装置、及び、半導体装置の製造方法
TW101121198A TW201304002A (zh) 2011-07-15 2012-06-13 電漿處理方法、電漿處理裝置及半導體元件製造方法
CN2012102293826A CN102881549A (zh) 2011-07-15 2012-07-03 等离子体处理方法、处理装置及半导体装置的制造方法
US13/543,796 US10074517B2 (en) 2011-07-15 2012-07-07 Plasma treatment method, plasma treatment apparatus, and semiconductor device manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011156533A JP2013026265A (ja) 2011-07-15 2011-07-15 プラズマ処理方法、プラズマ処理装置、及び、半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2013026265A true JP2013026265A (ja) 2013-02-04
JP2013026265A5 JP2013026265A5 (ja) 2014-08-28

Family

ID=47482835

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011156533A Abandoned JP2013026265A (ja) 2011-07-15 2011-07-15 プラズマ処理方法、プラズマ処理装置、及び、半導体装置の製造方法

Country Status (4)

Country Link
US (1) US10074517B2 (ja)
JP (1) JP2013026265A (ja)
CN (1) CN102881549A (ja)
TW (1) TW201304002A (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9059092B2 (en) * 2013-09-17 2015-06-16 Taiwan Semiconductor Manufacturing Company Limited Chemical dielectric formation for semiconductor device fabrication
US9728445B2 (en) * 2014-01-22 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conducting via and damascene structure
US10829810B2 (en) 2016-09-30 2020-11-10 Gen-Probe Incorporated Compositions on plasma-treated surfaces

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2989147A (en) * 1958-03-03 1961-06-20 Koppers Co Inc Hcn removal
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
DE4116840A1 (de) * 1991-05-23 1992-11-26 Degussa Verfahren zur abtrennung von cyanwasserstoff aus gasen und abgasen
JP2601208B2 (ja) * 1994-07-26 1997-04-16 ソニー株式会社 半導体基体の処理方法
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5888591A (en) * 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5679214A (en) * 1996-06-14 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd Method of maintaining a strong endpoint detection signal for RIE processes, via use of an insitu dry clean procedure
JP3160205B2 (ja) 1996-09-02 2001-04-25 科学技術振興事業団 半導体装置の製造方法およびその製造装置
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
KR100311487B1 (ko) * 1997-12-16 2001-11-15 김영환 산화막식각방법
JP2000009037A (ja) * 1998-06-18 2000-01-11 Fujitsu Ltd 排気装置及び排気方法
US6613681B1 (en) * 1998-08-28 2003-09-02 Micron Technology, Inc. Method of removing etch residues
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6531067B1 (en) * 1998-12-28 2003-03-11 Asahi Kasei Microsystems Co., Ltd. Method for forming contact hole
SE9903242D0 (sv) * 1999-09-13 1999-09-13 Acreo Ab A semiconductor device
US6793849B1 (en) * 2000-10-09 2004-09-21 The University Of Chicago N-type droping of nanocrystalline diamond films with nitrogen and electrodes made therefrom
US6776851B1 (en) * 2001-07-11 2004-08-17 Lam Research Corporation In-situ cleaning of a polymer coated plasma processing chamber
US7465362B2 (en) * 2002-05-08 2008-12-16 Btu International, Inc. Plasma-assisted nitrogen surface-treatment
US6897154B2 (en) * 2002-06-14 2005-05-24 Applied Materials Inc Selective etching of low-k dielectrics
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
JP3757213B2 (ja) * 2003-03-18 2006-03-22 富士通株式会社 半導体装置の製造方法
JP2005033038A (ja) 2003-07-07 2005-02-03 Japan Science & Technology Agency 半導体装置及びその製造方法、並びに処理装置
US7351663B1 (en) * 2004-06-25 2008-04-01 Cypress Semiconductor Corporation Removing whisker defects
US7361930B2 (en) * 2005-03-21 2008-04-22 Agilent Technologies, Inc. Method for forming a multiple layer passivation film and a device incorporating the same
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US7556970B2 (en) * 2006-03-27 2009-07-07 Tokyo Electron Limited Method of repairing damaged film having low dielectric constant, semiconductor device fabricating system and storage medium
JP2008000729A (ja) 2006-06-26 2008-01-10 Osaka Univ 廃液処理装置および廃液処理方法
US7642193B2 (en) * 2006-08-07 2010-01-05 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
US7977244B2 (en) * 2006-12-18 2011-07-12 United Microelectronics Corp. Semiconductor manufacturing process
US7622390B2 (en) * 2007-06-15 2009-11-24 Tokyo Electron Limited Method for treating a dielectric film to reduce damage
CN101740333B (zh) * 2008-11-13 2011-10-05 中芯国际集成电路制造(北京)有限公司 灰化处理方法
US8962454B2 (en) * 2010-11-04 2015-02-24 Tokyo Electron Limited Method of depositing dielectric films using microwave plasma

Also Published As

Publication number Publication date
CN102881549A (zh) 2013-01-16
US10074517B2 (en) 2018-09-11
TW201304002A (zh) 2013-01-16
US20130017672A1 (en) 2013-01-17

Similar Documents

Publication Publication Date Title
US10720460B2 (en) High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof
KR100602960B1 (ko) 플라즈마 처리 방법, 플라즈마 에칭 방법, 고체 촬상소자의 제조 방법
TW201839964A (zh) 半導體裝置之製造方法
US8460993B2 (en) Method for fabricating CMOS image sensor with plasma damage-free photodiode
JP2010206022A (ja) 固体撮像素子及びその製造方法、撮像装置
US10074517B2 (en) Plasma treatment method, plasma treatment apparatus, and semiconductor device manufacturing method
US6232241B1 (en) Pre-oxidation cleaning method for reducing leakage current of ultra-thin gate oxide
CN113113291A (zh) 基片清洁方法
JPH05136153A (ja) 半導体装置及びその製造方法
JP2009194269A (ja) 固体撮像装置およびその製造方法
CN105514130B (zh) Cmos图像传感器的制造方法
US9202706B2 (en) Method of forming pattern and solid-state image sensor device
JP2002134511A (ja) 半導体基板の製造方法および固体撮像装置の製造方法
Bakhshi et al. Diversified Applications of UV-Ozone Oxide: Effective Surface Clean and High-Quality Passivation
US20090162984A1 (en) Method for manufacturing semiconductor device
US20240030258A1 (en) Semiconductor isolation structures and methods of forming the same
WO2021152879A1 (ja) 半導体装置及びエッチング方法
JP2007258602A (ja) 金属汚染評価方法、半導体素子の製造方法および電子情報機器
Jung et al. Decreasing dark current of complementary metal oxide semiconductor image sensors by new postmetallization annealing and ultraviolet curing
KR20070050511A (ko) 소자 분리 영역 형성 방법 및 이를 이용한 이미지 소자형성 방법
Choi et al. A novel pixel design with hybrid type isolation scheme for low dark current in CMOS image sensor
TW202416515A (zh) 半導體結構及其形成方法
CN115911062A (zh) 浅沟槽隔离结构及其形成方法
CN105097643A (zh) 浅沟槽隔离结构的形成方法
TWI402885B (zh) 半導體裝置之製造方法及半導體製造設備

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140709

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140709

A762 Written abandonment of application

Free format text: JAPANESE INTERMEDIATE CODE: A762

Effective date: 20150403