JP2002057106A - 処理装置のクリーニング方法及び処理装置 - Google Patents

処理装置のクリーニング方法及び処理装置

Info

Publication number
JP2002057106A
JP2002057106A JP2000239426A JP2000239426A JP2002057106A JP 2002057106 A JP2002057106 A JP 2002057106A JP 2000239426 A JP2000239426 A JP 2000239426A JP 2000239426 A JP2000239426 A JP 2000239426A JP 2002057106 A JP2002057106 A JP 2002057106A
Authority
JP
Japan
Prior art keywords
cleaning
gas
vacuum chamber
processing apparatus
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000239426A
Other languages
English (en)
Inventor
Shinsuke Oka
信介 岡
Atsushi Yokoyama
敦 横山
Risa Nakase
りさ 中瀬
Shuichi Ishizuka
修一 石塚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2000239426A priority Critical patent/JP2002057106A/ja
Priority to KR1020037001839A priority patent/KR100554643B1/ko
Priority to US10/344,042 priority patent/US20040065344A1/en
Priority to PCT/JP2001/006784 priority patent/WO2002012587A2/en
Priority to TW090119318A priority patent/TW550674B/zh
Publication of JP2002057106A publication Critical patent/JP2002057106A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Abstract

(57)【要約】 【課題】 クリーニングレートの高いクリーニングが可
能なプラズマ処理装置のドライクリーニング方法を提供
する。 【解決手段】 平行平板型プラズマ処理装置のドライク
リーニングにおいて、クリーニング用のガスを2つの両
電極に高周波電力を印加して処理チャンバ内でプラズマ
化し、このガスによりクリーニングを行う。また、処理
チャンバの外部に配設されたプラズマ発生装置によりプ
ラズマ化されたクリーニングガスをチャンバ内に導入
し、電極の少なくとも一方に高周波電力を印加して、処
理チャンバ内でさらに活性化してクリーニングを行う。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、効率的なクリーニ
ングの可能な処理装置及びそのクリーニング方法に関す
る。
【0002】
【従来の技術】半導体ウェハ等の基板に成膜、エッチン
グ等の処理を行う方法には、プラズマを用いた方法が用
いられる。このようなプラズマ処理を行う手法の一つと
して、互いに平行な上部電極と下部電極間に高周波電力
を印加してプラズマを発生させ、そのプラズマにより成
膜を行う平行平板型プラズマ処理装置を用いる方法があ
る。
【0003】一般に、ウェハに対する、成膜、エッチン
グ等のプラズマ処理では、ウェハ上だけでなく処理チャ
ンバ内のいたるところに膜堆積が起こる。このため、ウ
ェハ上以外に堆積した膜が剥がれて、ウェハに堆積され
た膜中に取り込まれたり、ウェハ表面に付着したりす
る。これは、このウェハが構成するデバイスの欠陥につ
ながり、デバイスの歩留まりの低下、デバイス特性の悪
化といった問題を生じる。従って、これらのチャンバ内
に付着、堆積した膜を定期的にクリーニングする必要が
ある。
【0004】そのためのプラズマ処理装置のクリーニン
グとして、クリーニング用のガスをプラズマ化して装置
のクリーニングを行うドライクリーニングが主流となっ
ている。平行平板型プラズマ処理装置に関しては、装置
内にNF等のクリーニング用のガスを供給しつつ、上
部電極に高周波電力を印加して、このガスをプラズマ化
してクリーニングを行っていた。
【0005】
【発明が解決しようとする課題】しかしながら、このよ
うなクリーニング方法では、クリーニングに時間がかか
る、また、プロセスガスの吹き出し口(天板)等、十分
にクリーニングされない箇所が残る、といった問題があ
った。
【0006】上記問題を解決するため、本発明は、クリ
ーニングレートが高く、かつ、チャンバ内でのクリーニ
ングレートの均等な処理装置及びそのクリーニング方法
の提供を目的とする。
【0007】
【課題を解決するための手段】上記目的を達成するた
め、本発明の第1の観点に係るプラズマ処理装置のクリ
ーニング方法は、2つの電極を備える平行平板型のプラ
ズマ処理装置の真空チャンバ内を、該真空チャンバの内
部でプラズマ化したガスを用いてクリーニングする、プ
ラズマ処理装置のクリーニング方法において、前記2つ
の電極の両方に高周波電力を印加してクリーニングを行
うことを特徴とする。
【0008】上記構成の方法によれば、チャンバのクリ
ーニング用のガスを、チャンバ内部に備えられた2本の
電極に高周波電力を印加してプラズマ化し、電極の片方
に印加した場合よりもクリーニングガスのクリーニング
活性を高めることができ、従って、クリーニングレート
を向上させることができる。
【0009】上記目的を達成するため、本発明の第2の
観点に係るプラズマ処理装置のクリーニング方法は、2
つの電極を備える平行平板型のプラズマ処理装置の真空
チャンバ内を、該真空チャンバの外部で活性化したガス
を用いてクリーニングする、プラズマ処理装置のクリー
ニング方法において、前記2つの電極の少なくとも1つ
に高周波電力を印加してクリーニングを行うことを特徴
とする。
【0010】上記構成の方法によれば、チャンバの外部
でプラズマ化した、チャンバのクリーニング用のガス
を、チャンバ内部に備えられた電極に高周波電力を印加
してさらに活性化することができ、従って、クリーニン
グガスのクリーニング活性をさらに高めることによりク
リーニングレートを向上させることができる。
【0011】上記目的を達成するため、本発明の第3の
観点に係る処理装置のクリーニング方法は、被処理体に
所定の処理を施す処理装置の真空チャンバ内を、該真空
チャンバの外部で活性化したガスを用いてクリーニング
する、処理装置のクリーニング方法において、クリーニ
ングするためのガスを、前記真空チャンバに設けられた
3カ所以上のガス供給口から該真空チャンバ内に供給す
ることを特徴とする。
【0012】上記構成の方法によれば、チャンバの外部
でプラズマ化した、チャンバのクリーニング用のガスを
チャンバ内に3カ所以上のガス供給口から供給して、ク
リーニングガス供給の偏りを無くし、チャンバ内を均一
にクリーニングすることができる。
【0013】上記目的を達成するため、本発明の第4の
観点に係るプラズマ処理装置のクリーニング方法は、被
処理体に所定の処理を施す処理装置の真空チャンバ内
を、該真空チャンバの外部で活性化したガスを用いてク
リーニングする、処理装置のクリーニング方法におい
て、前記ガスは、前記真空チャンバの内部に多方向に吹
き出されて供給されることを特徴とする。
【0014】上記構成の方法によれば、チャンバの外部
でプラズマ化した、チャンバのクリーニング用のガスを
チャンバ内に供給する際、1つのクリーニングガスの流
れが多方向に分けられて供給されるので、クリーニング
ガス供給の偏りを無くし、チャンバ内を均一にクリーニ
ングすることができる。
【0015】上記目的を達成するため、本発明の第5の
観点に係る処理装置のクリーニング方法は、被処理体に
所定の処理を施す処理装置の真空チャンバ内を、該真空
チャンバの外部で活性化したガスを用いてクリーニング
する、処理装置のクリーニング方法において、クリーニ
ング中、前記真空チャンバの内部の圧力を100〜40
0Paとすることを特徴とする。
【0016】上記構成の方法によれば、クリーニング時
のチャンバ内の圧力を低真空状態に保つことにより、ク
リーニングガスを構成する気体分子の量を増加させるこ
とができ、従って、クリーニングレートを向上させるこ
とができる。
【0017】上記目的を達成するため、本発明の第6の
観点に係る処理装置のクリーニング方法は、被処理体に
所定の処理を施す処理装置の真空チャンバ内を、活性化
したガスを用いてクリーニングする、処理装置のクリー
ニング方法において、クリーニング中、前記真空チャン
バの内部を加熱することを特徴とする。
【0018】上記構成の方法によれば、クリーニング時
のチャンバ内を加熱することにより、チャンバ壁等に堆
積したクリーニングされる膜を加熱活性化してクリーニ
ングガスとの反応性を高めることができ、従って、クリ
ーニングレートを向上させることができる。
【0019】上記第6の観点に係るプラズマ処理装置の
クリーニング方法において、前記加熱を、前記真空チャ
ンバの壁の内部に温調媒体を通して行ってもよい。
【0020】上記第6の観点に係るプラズマ処理装置の
クリーニング方法において、前記加熱を、前記真空チャ
ンバの壁の内部に備えたヒータにより行ってもよい。
【0021】上記第6の観点に係るプラズマ処理装置の
クリーニング方法において、前記加熱を、前記真空チャ
ンバの壁に設けられた窓を通した、該真空チャンバの外
部からの光の照射により行ってもよい。
【0022】上記目的を達成するため、本発明の第7の
観点に係るプラズマ処理装置は、互いに並行する2つの
平板電極を備えた真空チャンバと、前記真空チャンバを
クリーニングするためのガスを該真空チャンバ内に供給
可能に構成されたガス供給ラインと、から構成される処
理装置において、前記2つの平板電極の両方に高周波電
力を印加してクリーニングを行うことを特徴とする。
【0023】上記構成の装置によれば、チャンバのクリ
ーニング用のガスを、チャンバ内部に備えられた2本の
電極に高周波電力を印加してプラズマ化し、電極の片方
に印加した場合よりもクリーニングガスのクリーニング
活性を高めることができ、従って、クリーニングレート
を向上させることができる。
【0024】上記目的を達成するため、本発明の第8の
観点に係るプラズマ処理装置は、互いに並行する2つの
平板電極を備えた真空チャンバと、前記真空チャンバを
クリーニングするためのガスを該真空チャンバ内に供給
可能に構成されたガス供給ラインと、前記ガス供給ライ
ンを介して、前記真空チャンバに供給されるガスを供給
可能に構成されたガス活性化手段と、から構成される処
理装置において、前記2つの平板電極の少なくとも1つ
に高周波電力を印加してクリーニングを行うことを特徴
とする。
【0025】上記構成の装置によれば、チャンバの外部
でプラズマ化した、チャンバのクリーニング用のガス
を、チャンバ内部に備えられた電極に高周波電力を印加
してさらに活性化することができ、従って、クリーニン
グガスのクリーニング活性をさらに高めることによりク
リーニングレートを向上させることができる。
【0026】上記目的を達成するため、本発明の第9の
観点に係る処理装置は、真空チャンバと、前記真空チャ
ンバをクリーニングするためのガスを該真空チャンバ内
に供給可能に構成されたガス供給ラインと、前記ガス供
給ラインを介して、前記真空チャンバに供給されるガス
を供給可能に構成されたガス活性化手段と、から構成さ
れる処理装置において、前記ガス供給ラインは、前記真
空チャンバ内に設けられた3カ所以上のガス供給口から
該真空チャンバ内にガスを供給することを特徴とする。
【0027】上記構成の装置によれば、チャンバの外部
でプラズマ化した、チャンバのクリーニング用のガスを
チャンバ内に3カ所以上のガス供給口から供給して、ク
リーニングガス供給の偏りを無くし、チャンバ内を均一
にクリーニングすることができる。
【0028】上記第9の観点に係る処理装置において、
前記ガス供給口は、気体が通過可能に構成された複数の
開口部を有する蓋部材で覆われ、該開口部の総平面面積
は、該ガス供給口の面積に対して、50〜80%である
ことが望ましい。
【0029】上記第9の観点に係る処理装置において、
前記ガス供給口は、気体が通過可能に構成された複数の
開口部を有する蓋部材で覆われ、該開口部は、前記クリ
ーニングガスを多方向に吹き出し可能に構成されている
ことが望ましい。
【0030】上記目的を達成するため、本発明の第10
の観点に係る処理装置は、真空チャンバと、前記真空チ
ャンバをクリーニングするためのガスを該真空チャンバ
内に供給可能に構成されたガス供給ラインと、前記ガス
供給ラインを介して、前記真空チャンバに供給されるガ
スを供給可能に構成されたガス活性化手段と、から構成
される処理装置において、クリーニング中、前記真空チ
ャンバの内部の圧力を100〜400Paとすることを
特徴とする。
【0031】上記構成の装置によれば、クリーニング時
のチャンバ内の圧力を低真空状態に保つことにより、ク
リーニングガスを構成する気体分子の量を増加させるこ
とができ、従って、クリーニングレートを向上させるこ
とができる。
【0032】上記目的を達成するため、本発明の第11
の観点に係る処理装置は、真空チャンバと、前記真空チ
ャンバをクリーニングするためのガスを該真空チャンバ
内に供給可能に構成されたガス供給ラインと、前記ガス
供給ラインを介して、前記真空チャンバに供給されるガ
スを供給可能に構成されたガス活性化手段と、から構成
される処理装置において、クリーニング中、前記真空チ
ャンバの内部を加熱することを特徴とする。
【0033】上記構成の装置によれば、クリーニング時
のチャンバ内を加熱することにより、チャンバ壁等に堆
積したクリーニングされる膜を加熱活性化してクリーニ
ングガスとの反応性を高めることができ、従って、クリ
ーニングレートを向上させることができる。
【0034】上記第11の観点に係る処理装置におい
て、前記加熱を、前記真空チャンバの壁の内部に温調媒
体を通して行ってもよい。
【0035】上記第11の観点に係る処理装置におい
て、前記加熱を、前記真空チャンバの壁の内部に備えた
ヒータにより行ってもよい。
【0036】上記第11の観点に係る処理装置におい
て、前記加熱を、前記真空チャンバの壁に設けられた窓
を通した、該真空チャンバの外部からの光の照射により
行ってもよい。
【0037】
【発明の実施の形態】本発明の実施の形態に係る処理装
置について、以下図面を参照して説明する。 (第1の実施の形態)図1は第1の実施の形態に係るプ
ラズマ処理装置の構成例を示す。このプラズマ処理装置
は、SiH、SiFをプロセスガスとして、被処理
体にSiOF膜を成膜し、被処理体以外のチャンバ内に
堆積したSiOF膜をNF、Arのクリーニングガス
によりクリーニングする機能を有する。
【0038】図1に示すように、このプラズマ処理装置
は、処理チャンバ101と、サセプタ21と、電極板2
2と、ガス供給ラインL1と、クリーニングガス供給ラ
インL2と、システムコントローラ100とから構成さ
れる。
【0039】サセプタ21は処理チャンバ101の中央
に設けられ、例えば、アルミニウムからなり、ほぼ円柱
状に形成されている。サセプタ21には、被処理体、例
えば、半導体ウェハが戴置される。サセプタ21の表面
には静電チャック(図示せず)が設けられている。この
静電チャックは、薄い静電層の中にチャック電極を埋設
して構成され、チャック電極は直流電圧源から直流電圧
が印加されることにより、ウェハを静電吸着するもので
ある。
【0040】サセプタ21内には、温調媒体の流路が形
成され、温調媒体供給管25から供給された温調媒体が
温調媒体流路24を通って温調媒体排出管26から排出
されるよう構成されている。処理されるウェハの温度
は、この温調媒体による冷熱等により調整される。
【0041】サセプタ21は平行平板型電極の一方の電
極である下部電極としても機能する。サセプタ21は、
処理チャンバ101に対して絶縁されるように、絶縁体
27の上に配置されている。そして、下部電極であるサ
セプタ21と基準電位、例えば、アースとの間には、整
合器28及び第1のRF電源30が接続されている。
【0042】サセプタ21の上部周縁部には、半導体ウ
ェハに反応性イオンを効果的に入射させるために絶縁材
よりなるフォーカスリング32が設けられている。ま
た、ウェハの受け渡し用のリフトピン(図示せず)が、
サセプタ21及び静電チャックを貫通して昇降可能に設
けられている。
【0043】電極板22は、処理チャンバ101の天井
部に、サセプタ21に対して平行に、かつ、対向するよ
うに設けられ、上部電極として機能する。この電極板2
2は、例えば、SiOによりコーティングされたアル
ミニウムから構成される。
【0044】電極板22の電極支持体33中には、ガス
供給ラインL1に接続されたガス流路(図示せず)が形
成されており、ガス供給ラインL1からのガスはガス流
路を介して電極板22のガス供給穴35から処理チャン
バ101内に供給される。ここで、電極板22及び電極
支持体33は、絶縁体34により処理チャンバ101に
対して絶縁されている。上部電極である電極板22と基
準電位、例えば、アースとの間には整合器29及び第2
のRF電源31が接続されている。
【0045】ガス供給ラインL1は、バルブV1を介し
て、SiH、SiF、NF、Ar等のガス供給源
SA、SB、SC、SDに接続されている。ガス供給源
SA、SB、SC、SDは、マスフローコントローラM
A、MB、MC、MDにより、所望の処理に応じてガス
を所定の順序、流量で供給する。
【0046】クリーニングガス供給ラインL2は、Si
、SiF等のプロセスガスとの反応を防ぐため、
ガス供給ラインL1とは別に設けられ、バルブV2を介
して、NFガス供給源SEに接続されている。ガス供
給源SEは、マスフローコントローラにMEより、所望
の処理に応じてガスを所定の順序、流量で供給する。
【0047】処理チャンバ101の排気側には、圧力調
整弁(APC)104を介してターボ分子ポンプ(TM
P)103が接続されている。ターボ分子ポンプ103
は、処理チャンバ101内を排気し、圧力調整弁104
により、処理チャンバ101内の圧力は所定の真空度と
される。
【0048】システムコントローラ100は、成膜処理
及びクリーニング処理時に、ガス供給系、処理チャンバ
101、圧力調整弁104等、プラズマ処理装置全体の
制御を行う。
【0049】次に、本実施の形態のプラズマ処理装置の
クリーニング時の動作に関して、図1を参照して説明す
る。システムコントローラ100の制御の下、被処理体
であるウェハを処理チャンバ101内へ搬入後、SiF
、SiH、O、Arといったプロセスガスを処理
チャンバ101内に供給し、上部電極22及び下部電極
にRF電力を印加して、ウェハ上にSiOF膜を成膜す
る。所定の膜厚のSiOF膜がウェハ上に成膜された
後、ウェハは処理チャンバ101から搬出される。上述
の動作で所定枚数のウェハを処理した後、システムコン
トローラ100は、処理チャンバ101のクリーニング
を開始する。
【0050】まず、クリーニング用のダミーウェハを処
理チャンバ101内に搬入してサセプタ21上に戴置
し、静電チャックにより吸着保持する。続いて、バルブ
V1を開放し、ガス供給源SDからArガスを、マスフ
ローコントローラMCにより所定の流量に調整して、ガ
ス供給穴35を介して処理チャンバ101内に供給す
る。
【0051】Arガスの供給の後、上部電極22とアー
ス間に第2のRF電源31により、RF電力を印加する
とともに、下部電極とアース間に第1のRF電源30に
よりRF電力を印加する。
【0052】この後、NFガスをマスフローコントロ
ーラMEにより所定の流量に調整して、ガス供給源SE
からクリーニングガス供給ラインL2を介してガス供給
穴35から、処理チャンバ101に供給する。供給され
たNFガスは、上部電極22及び下部電極へのRF電
力の印加によりプラズマ化されてフッ素ラジカルF
発生し(化学式1)、処理チャンバ101内に堆積、付
着したSiOF膜と反応してSiF等となり(化学式
2)、これら反応生成物は排気とともに除去される。
【化1】NF→3F+1/2N
【化2】SiOF+3F→SiF↑+1/2O
【0053】システムコントローラ100は、クリーニ
ングが終点に達したと判断すると、上部電極22及び下
部電極へのRF電力の印加をオフとし、Arガス、NF
ガスの供給を止める。続いて、ガス供給源SC、SD
からマスフローコントローラMC、MDにより所定の流
量に調整してOガス、Arガスを供給するとともに、
ウェハ除電のため、上部電極22へRF電力を印可す
る。その所定時間後、Arガスの供給を止め、上部電極
22へのRF電力の印加をオフとする。続いて、ウェハ
の吸着状態を解除し、Oガスの供給を止める。最後
に、ダミーウェハを処理チャンバ101から搬出し、ク
リーニングを終了する。ここで、システムコントローラ
100は、クリーニング中、処理チャンバ101の外部
に設けられたプラズマ発光センサ(図示せず)により、
発生するOプラズマの発光強度をモニタして終点を検
出する。
【0054】図2は、第1の実施の形態のプラズマ処理
装置を用い、電極間距離が50mmで、ウェハ上に5μ
mのSiOF膜を成膜した後に、クリーニングを行った
ときの結果である。クリーニング時、上部電極22に
1.5KwのRF電力を印加した。NFガスは500
sccm、Arガスは500sccmとし、処理チャン
バ101内の圧力は13Paとした。
【0055】図2に見られるように、下部電極にRF電
力を印加してクリーニングを行った場合には、印加しな
い場合に比べてクリーニング時間が短縮される。従っ
て、上部電極22のみにRF電力を印加し、下部電極に
は印加しない従来のプラズマを用いたドライクリーニン
グ方法に比べ、上部電極22及び下部電極にRF電力を
印加する本実施の形態では、高いクリーニングレートが
得られる。
【0056】(第2の実施の形態)図3に第2の実施の
形態に係るプラズマ処理装置の構成を示す。図4は、処
理チャンバ101の内部を詳細に示す断面図である。図
3及び図4において、図1と同一の部分には同一の符号
を付す。
【0057】第2の実施の形態のプラズマ処理装置は、
被処理体にプラズマ処理を行った後、チャンバの外部で
プラズマ化させたクリーニングガス(リモートプラズマ
ガス)を用いてチャンバをクリーニングする、リモート
プラズマドライクリーニングを行うもので、図2に示す
ように、処理チャンバ101と、プラズマ発生装置10
2と、システムコントローラ100とから構成される。
【0058】プラズマ発生装置102は、バルブV3及
びマスフローコントローラMF、MGを介してクリーニ
ングガス供給源SF、SGに接続されている。プラズマ
発生装置102は、プラズマ発生機構を有し、内部を通
過するガスをプラズマ化する。クリーニングガス供給源
SF、SGから供給されるNF等のクリーニングガス
は、プラズマ発生装置102を通ってプラズマ化され、
排気側に接続されたクリーニングガス供給ラインL3を
介して処理チャンバ101に供給される。
【0059】このようなリモートプラズマクリーニング
では、リモートプラズマガスの活性は、チャンバ内部で
発生させたプラズマガスに比べ穏やかであり、プラズマ
化により生成した活性種によるチャンバ壁、フォーカス
リング等の攻撃は抑えられ、装置の消耗を防ぐことがで
きるので、チャンバの内部でプラズマを発生させる方法
よりも好ましい。
【0060】図4に示すように、プラズマ処理装置の外
壁にはクリーニングガス供給口41が設けられている。
クリーニングガス供給口41は処理チャンバ101の外
部に配設されたプラズマ発生装置102の排気側にクリ
ーニングガス供給ラインL3を介して接続されている。
また、プラズマ発生装置102の吸気側には、クリーニ
ングガス、NFガス、Arのガス供給源SF、SGが
それぞれバルブ、マスフローコントローラMF、MGを
介して接続されている。
【0061】以下、第2の実施の形態におけるクリーニ
ングの動作について、図3及び図4を参照して説明す
る。まず、クリーニング用のダミーウェハを処理チャン
バ101内に搬入してサセプタ21上に戴置し、静電チ
ャックにより吸着保持する。続いて、バルブV3を開放
して、NFガス、Arガスをガス供給源SF、SGか
らプラズマ発生装置102、クリーニングガス供給ライ
ンL3を介して処理チャンバ101内に供給する。
【0062】クリーニングガスの供給後、プラズマ発生
装置102の電源をオンとし、プラズマ発生装置102
内にリモートプラズマガスを発生させ、発生したプラズ
マガスをクリーニングガス供給ラインL3を介してクリ
ーニングガス供給口41から処理チャンバ101内に供
給する。
【0063】システムコントローラ100は、クリーニ
ングが終点に達したと判断すると、プラズマ発生装置1
02をオフとし、バルブV3を閉鎖し、クリーニングガ
スの処理チャンバ101内への供給を止める。次に、バ
ルブV1を開放し、Oガス、Arガスを処理チャンバ
101内に供給すると共に、ウェハ除電のため、上部電
極22へRF電力を印可する。その所定時間後、Arガ
スの供給を止め、上部電極22へのRF電力の印加をオ
フとする。続いて、ウェハの吸着状態を解除し、バルブ
V1を閉鎖してOガスの流入を止める。最後に、ダミ
ーウェハを処理チャンバ101から搬出し、クリーニン
グは終了する。ここで、システムコントローラ100
は、クリーニング中、処理チャンバ101の外部に設け
られたプラズマ発光センサ(図示せず)により、発生す
るOプラズマの発光強度をモニタして終点を検出す
る。
【0064】上記クリーニングを行う際、処理チャンバ
101の内部の圧力は、10Pa程度であった従来より
も高圧、例えば、100〜400Paに保たれる。図5
は、処理チャンバ101内の圧力を100〜400Pa
とした時のクリーニング時間を示す。クリーニングは、
電極間距離が50mmで、ウェハ上に5μmのSiOF
膜を成膜した後、NFガスは500sccm、Arガ
スは500sccmとして行った。
【0065】図に見られるように、クリーニング時の処
理チャンバ101内の圧力を従来よりも高圧とした場合
には、クリーニング時間が短く、従来のリモートプラズ
マクリーニングに比べ、高いクリーニングレートが得ら
れる。しかし、400Pa程度の圧力とした場合には、
従来の圧力でのクリーニングに対する時間の短縮が見ら
れるが、200Paにおけるクリーニング時間よりは遅
いものとなる。また、圧力の増加は気体、すなわち、ク
リーニングガスの体積の増加を意味し、過剰なクリーニ
ングガスのチャンバへの供給はチャンバ部材の劣化をも
たらし好ましくない。従って、過剰な高圧は望ましくな
く、200Pa〜400Pa程度の圧力とすることが望
ましい。
【0066】(第3の実施の形態)以下、第3の実施の
形態におけるクリーニングの動作について、図3及び図
4を参照して説明する。第3の実施の形態では、上記第
2の実施の形態の装置のリモートプラズマクリーニング
において、処理チャンバ101の外部でプラズマ化した
クリーニングガスを、電極にRF電力を印加して処理チ
ャンバ101内でさらに活性化してクリーニングを行
う。
【0067】まず、クリーニング用のダミーウェハを処
理チャンバ101内に搬入してサセプタ21上に戴置
し、静電チャックにより吸着保持する。続いて、バルブ
を開放して、NFガス、Arガスをガス供給源SF、
SGからプラズマ発生装置102、クリーニングガス供
給ラインL3を介して処理チャンバ101内に供給す
る。
【0068】クリーニングガスの供給後、プラズマ発生
装置102の電源をオンとし、プラズマ発生装置102
内にリモートプラズマガスを発生させ、発生したプラズ
マガスをクリーニングガス供給ラインL3を介してクリ
ーニングガス供給口41から処理チャンバ101内に供
給する。その後、処理チャンバ101内の上部電極22
とアース間に第2のRF電源31によりRF電力を印加
する。
【0069】システムコントローラ100は、クリーニ
ングが終点に達したと判断すると、プラズマ発生装置1
02をオフとし、上部電極22への高周波電力の印加を
オフとする。次に、バルブV3を閉鎖し、クリーニング
ガスの処理チャンバ101内への供給を止める。さら
に、バルブV1を開放し、Oガス、Arガスを処理チ
ャンバ101内に供給すると共に、ウェハ除電のため、
上部電極22へRF電力を印可する。その所定時間後、
Arガスの供給を止め、上部電極22へのRF電力の印
加をオフとする。続いて、ウェハの吸着状態を解除し、
バルブV1を閉鎖してOガスの流入を止める。最後
に、ダミーウェハを処理チャンバ101から搬出し、ク
リーニングは終了する。ここで、システムコントローラ
100は、クリーニング中、処理チャンバ101の外部
に設けられたプラズマ発光センサ(図示せず)により、
発生するOプラズマの発光強度をモニタして終点を検
出する。
【0070】図6は、第3の実施の形態のプラズマ処理
装置を用い、電極間距離が50mmで、ウェハ上に5μ
mのSiOF膜を成膜した後に、クリーニングを行った
ときの結果である。クリーニング時、上部電極22に
1.5KwのRF電力を印加し、NFガスは500s
ccm、Arガスは500sccmとし、処理チャンバ
101内の圧力は200Paとした。
【0071】図6に見られるように、上部電極22にR
F電力を印加してリモートプラズマガスによるクリーニ
ングを行った場合には、印加しない場合に比べてクリー
ニング時間が20%以下に短縮される。従って、従来の
リモートプラズマクリーニングに比べ、上部電極22に
RF電力を印加して処理チャンバ101内でリモートプ
ラズマガスを活性化してクリーニングを行う本実施の形
態により、高いクリーニングレートが得られる。
【0072】(第4の実施の形態)図7に、第4の実施
の形態のプラズマ処理装置の構成を示す。図7におい
て、図3と同一の部分には同一の符号を付す。図7に示
すように、この実施の形態では、クリーニングガス供給
口41が処理チャンバ101の壁に等間隔に3カ所設け
られた構成を有する。
【0073】第4の実施の形態のプラズマ処理装置は、
SiH、SiFをプロセスガスとして、被処理体に
SiOF膜を成膜し、被処理体以外のチャンバ内に堆積
したSiOF膜をNF、Arのクリーニングガスによ
りクリーニングする機能を有するもので、上記第2の実
施の形態で説明したものと同様の、図4に示す構成を有
する。
【0074】図8は、第4の実施の形態のプラズマ処理
装置を用い、電極間距離が50mmで、ウェハ上に5μ
mのSiOF膜を成膜した後に、クリーニングを行った
ときの結果である。クリーニング時、NFガスは1s
lm、Arガスは1slmとし、処理チャンバ101内
の圧力は13Paとした。
【0075】図中、a、b、c、d、eは、図7に示す
処理チャンバ101内の各地点a、b、c、d、eを示
し、各データは、上記各地点にシリコン熱酸化膜のチッ
プを置き、各地点でのクリーニングレートを調べたもの
である。なお、地点aのチップはサセプタ上に戴置し、
他の各地点b、c、d、eは、サセプタと同一平面上に
あるよう配置した。
【0076】図8に、クリーニングガス供給口41を2
カ所として同一の条件でクリーニングを行った結果を並
記する。図よりわかるように、2カ所から供給する構成
では、クリーニングガス供給口41から遠い地点bのク
リーニングレートは遅い。しかし、3カ所から供給する
構成では、このようなクリーニングレートの不均一性は
見られない。
【0077】以上説明したように、処理チャンバ101
の外部でプラズマ化したクリーニングガスを、処理チャ
ンバ101内に3カ所から供給する本実施の形態によれ
ば、均一なクリーニングが可能となる。
【0078】上記第4の実施の形態では、クリーニング
ガス供給口41を処理チャンバ101の壁に等間隔に3
カ所備えた構成とした。しかし、クリーニングガス供給
口41を配置する間隔は調整可能であり、また、クリー
ニングガス供給口41は3カ所に限られず、3カ所以上
設けてもよい。
【0079】(第5の実施の形態)リモートプラズマガ
スを用いる上記実施の形態では、クリーニングガス供給
口41は、図9に示すような形状のAl等より構
成される蓋部材42で覆われ、処理チャンバ101から
クリーニングガス供給ラインL3へのガスの流入を防
ぐ。この蓋部材42のスリット状開口部91を通してリ
モートプラズマガスが処理チャンバ101内に供給され
る。
【0080】第5の実施の形態のプラズマ処理装置は、
SiH、SiFをプロセスガスとして、被処理体に
SiOF膜を成膜し、被処理体以外のチャンバ内に堆積
したSiOF膜をNF、Arのクリーニングガスによ
りクリーニングする機能を有するもので、上記第2の実
施の形態で説明したものと同様の構成を有し、上記蓋部
材42の開口率を50〜80%とした構成である。ここ
で、開口率とは、蓋部材42の開口部91の総面積の、
蓋部材42の総面積に対する割合であり、(開口率
(%))=(開口部91の総面積)/(蓋部材42の総
面積)x100で与えられる。
【0081】図10は、第5の実施の形態のプラズマ処
理装置を用い、電極間距離が50mmで、ウェハ上に5
μmのSiOF膜を成膜した後に、クリーニングを行っ
たときの結果である。蓋部材42の開口率は62%であ
り、クリーニング時、NFガスは1slm、Arガス
は1slmとし、処理チャンバ101内の圧力は13P
aとした。
【0082】第4の実施例で説明したのと同様に、図
中、a、b、c、d、eは、図7に示す処理チャンバ1
01内の各地点a、b、c、d、eを示し、各データ
は、上記各地点にシリコン熱酸化膜のチップを置き、各
地点でのクリーニングレートを調べたものである。
【0083】図よりわかるように、開口率を62%とし
た場合に、処理チャンバ101内の各地点で最も高いク
リーニングレートが得られる。従って、クリーニングガ
ス供給口41の蓋部材42の開口率を50〜80%とし
てリモートプラズマクリーニングガスを処理チャンバ1
01内に供給する本実施の形態によれば、高いクリーニ
ングレートのクリーニングが可能である。
【0084】この実施の形態では、蓋部材42の開口部
91はスリット形状としたが、必要な開口率が得られる
限り円形等、他のいかなる形状も可能である。
【0085】(第6の実施の形態)第6の実施の形態の
蓋部材42の断面図を図11(a)に示す。図11
(a)に示すように、蓋部材42の各スリットは、中央
部では90°、中間部では60°、端部では45°とい
うように、別々の吹き出し角度を有し、クリーニングガ
ス供給ラインL3から供給されるガスが処理チャンバ1
01内の各方向に均等に吹き出すようにした構成を有す
る。
【0086】図12は、第6の実施の形態のプラズマ処
理装置を用い、電極間距離が50mmで、ウェハ上に5
μmのSiOF膜を成膜した後に、クリーニングを行っ
たときの結果である。蓋部材42の開口率は35%であ
り、クリーニング時、NFガスは1slm、Arガス
は1slmとし、処理チャンバ101内の圧力は13P
aとした。
【0087】第4の実施例で説明したのと同様に、図
中、a、b、c、d、eは、図7に示す処理チャンバ1
01内の各地点a、b、c、d、eを示し、各データ
は、上記各地点にシリコン熱酸化膜のチップを置き、各
地点でのクリーニングレートを調べたものである。
【0088】図12に、クリーニングガスが蓋部材42
に対して垂直に吹き出す、図10(b)に示す構造の蓋
部材42を用いて同一の条件でクリーニングを行った場
合の結果を並記する。図12よりわかるように、クリー
ニングガスが各方向に均等に吹き出すように構成された
蓋部材42を用いた場合には、各地点でのクリーニング
レートの向上及び均一性の向上が見られる。従って、本
実施の形態によれば、クリーニングレートが高く、か
つ、均一なクリーニングが可能となる。
【0089】上記実施の形態では、蓋部材42の構造
は、中央部では90°、中間部では60°、端部では4
5°という吹き出し角度としたが、上記構成に限らず、
供給されるガスの各方向への均等な吹き出しが可能な構
造であれば、いかなるものであってもよい。
【0090】(第7の実施の形態)図13に、第7の実
施の形態のプラズマ処理装置の構成を示す。図13にお
いて、図3と同一の部分には同一の符号を付す。なお、
ガス供給系及び上部電極系は省略した。
【0091】第7の実施の形態のプラズマ処理装置は、
SiH、SiFをプロセスガスとして、被処理体に
SiOF膜を成膜し、被処理体以外のチャンバ内に堆積
したSiOF膜をNF、Arのクリーニングガスによ
りクリーニングする機能を有するもので、上記第2の実
施の形態で説明したものと同様の、図4に示す構成を有
する。
【0092】図13に示す第7の実施の形態では、ガス
供給(天板)部分22及びチャンバ壁に温調媒体の流路
93が形成されている。この流路93に温調媒体を流
し、天板部分22及びチャンバ壁を所定の高温に保つこ
とができる。天板部分22は、最も、堆積膜が付きやす
く、かつ、最もクリーニングされにくい部分であるの
で、天板部分22を加熱することにより、この部分のク
リーニングレートを高めることができる。システムコン
トローラ100は、上記第2の実施の形態で説明したク
リーニング動作時、温調媒体の流れを制御して上記部分
の温度調節を行う。
【0093】図14は、第7の実施の形態のプラズマ処
理装置を用い、電極間距離が50mmで、ウェハ上に5
μmのSiOF膜を成膜した後に、クリーニングを行っ
たときの結果である。天板部分22及びチャンバ壁は温
調媒体を流して100℃に保った。蓋部材の開口率は3
5%であり、クリーニング時、NFガスは1slm、
Arガスは1slmとし、処理チャンバ101内の圧力
は13Paとした。
【0094】第4の実施例で説明したのと同様に、図
中、a、b、c、d、eは、図7に示す処理チャンバ1
01内の各地点a、b、c、d、eを示し、各データ
は、上記各地点にシリコン熱酸化膜のチップを置き、各
地点でのクリーニングレートを調べたものである。
【0095】図14よりわかるように、天板部分22を
加熱すると、加熱しない場合と比べて天板部分22のク
リーニングレートは向上する。従って、天板部分22を
加熱する本実施の形態によれば、クリーニングされにく
い天板部分22のクリーニングレートを高めることがで
き、より均一なクリーニングを可能とする。また、天板
部分22及びチャンバ壁を加熱することにより、処理チ
ャンバ101内全体が加熱され、クリーニングレートを
向上させることができる。
【0096】(第8の実施の形態)図15に第8の実施
の形態のプラズマ処理装置の構成を示す。図15におい
て、図3と同一の部分には同一の符号を付す。なお、ガ
ス供給系及び上部電極系は省略した。
【0097】第8の実施の形態のプラズマ処理装置は天
板部分及びチャンバ壁部分にニクロム線等より構成され
たヒータ94が埋設された構成を有し、上記第7の実施
の形態と同様に、天板部分22及びチャンバ壁部分を加
熱可能に構成されている。システムコントローラ100
は、上記第2の実施の形態で説明したクリーニング動作
時、ヒータ94を制御して上記部分の温度調節を行う。
【0098】図14に、第8の実施の形態のプラズマ処
理装置において、上記第7の実施の形態と同一の条件で
クリーニングを行った結果を示す。図よりわかるよう
に、天板部分22を加熱することにより、天板部分22
のクリーニングレートは向上する。従って、天板部分2
2を加熱する本実施の形態によれば、クリーニングされ
にくい天板部分22のクリーニングレートを高めること
ができ、より均一なクリーニングを可能とする。また、
天板部分22及びチャンバ壁を加熱することにより、処
理チャンバ101内全体が加熱され、クリーニングレー
トを向上させることができる。
【0099】(第9の実施の形態)図16に第9の実施
の形態のプラズマ処理装置の構成を示す。図16におい
て、図3と同一の部分には同一の符号を付す。なお、ガ
ス供給系及び上部電極系は省略した。
【0100】第9の実施の形態のプラズマ処理装置は、
処理チャンバ101の外部にハロゲンランプ等の光源9
5を備え、処理チャンバ101の壁に備えられた窓96
から天板部分22及びチャンバ壁に光照射可能に構成さ
れている。システムコントローラ100は、上記第2の
実施の形態で説明したクリーニング動作時、この光源9
5の光照射を制御して上記部分を加熱し、上記部分の温
度調節を行う。
【0101】図14に、第9の実施の形態のプラズマ処
理装置において、上記第7の実施の形態と同一の条件で
クリーニングを行った結果を示す。図14よりわかるよ
うに、天板部分22を加熱することにより、天板部分2
2のクリーニングレートは向上する。従って、天板部分
22を加熱する本実施の形態によれば、クリーニングさ
れにくい天板部分22のクリーニングレートを高めるこ
とができ、より均一なクリーニングを可能とする。ま
た、天板部分22及びチャンバ壁を加熱することによ
り、処理チャンバ101内全体が加熱され、クリーニン
グレートを向上させることができる。
【0102】上記実施の形態では、天板部分22等の加
熱は100℃としたが、クリーニングレートが高く、か
つ、均一なクリーニングの可能な温度であれば、いかな
る温度でもよい。
【0103】上記実施の形態ではクリーニングガスとし
てNFを用いた。しかし、他のドライクリーニングに
用いるクリーニングガス、例えば、CF、C
SF 、NF等のフッ素系物質やCl、BCl
の塩素系物質を含むガスを使用してもよい。
【0104】上記実施の形態は、半導体ウェハ上にSi
OF膜等の薄膜形成を行うプラズマ処理装置のドライク
リーニングである。しかし、この本発明のクリーニング
方法はプラズマ処理装置に限らず、ドライクリーニング
の適用可能ないかなる装置に対しても適用可能である。
【0105】
【発明の効果】本発明により、クリーニングレートが高
く、かつ、均等なクリーニングの可能なプラズマ処理装
置のクリーニング方法が提供される。
【図面の簡単な説明】
【図1】第1の実施の形態に係るプラズマ処理装置の構
成例を示す図である。
【図2】第1の実施の形態に係るプラズマ処理装置のク
リーニング結果を示す図である。
【図3】第2の実施の形態に係るプラズマ処理装置の構
成例を示す図である。
【図4】第2の実施の形態に係るプラズマ処理装置の構
成例を示す図である。
【図5】第2の実施の形態に係るプラズマ処理装置のク
リーニング結果を示す図である。
【図6】第3の実施の形態に係るプラズマ処理装置のク
リーニング結果を示す図である。
【図7】第4の実施の形態に係るプラズマ処理装置の構
成例を示す図である。
【図8】第4の実施の形態に係るプラズマ処理装置のク
リーニング結果を示す図である。
【図9】蓋部材を示す図である。
【図10】第5の実施の形態に係るプラズマ処理装置の
クリーニング結果を示す図である。
【図11】蓋部材を示す図である。
【図12】第6の実施の形態に係るプラズマ処理装置の
クリーニング結果を示す図である。
【図13】第7の実施の形態に係るプラズマ処理装置の
構成例を示す図である。
【図14】天板部分を加熱した場合のクリーニング結果
を示す図である。
【図15】第8の実施の形態に係るプラズマ処理装置の
構成例を示す図である。
【図16】第9の実施の形態に係るプラズマ処理装置の
構成例を示す図である。
【符号の説明】
100 システムコントローラ 101 処理チャンバ 102 プラズマ発生装置 103 ターボ分子ポンプ 104 圧力調整弁 21 サセプタ 22 上部電極/電極板(天板) 24 温調媒体流路 25 温調媒体供給管 26 温調媒体排出管 27、34 絶縁体 28、29 整合器 30、31 RF電源 32 フォーカスリング 33 電極支持体 35 ガス供給穴 41 クリーニングガス供給口 42 蓋部材 91 開口部 93 流路 94 ヒータ 95 光源 96 窓
───────────────────────────────────────────────────── フロントページの続き (72)発明者 中瀬 りさ 神奈川県津久井郡城山町町屋1丁目2番41 東京エレクトロン宮城株式会社内 (72)発明者 石塚 修一 山梨県韮崎市穂坂町三ッ沢650 東京エレ クトロン宮城株式会社内 Fターム(参考) 4K030 DA06 EA06 FA03 JA09 KA22 KA37 5F004 AA15 BA03 BA04 BB13 BC03 BD04 DA17 5F045 AB31 AC01 AC02 BB14 DP03 EB06 EE06 EH13 EK06 EK07

Claims (19)

    【特許請求の範囲】
  1. 【請求項1】2つの電極を備える平行平板型のプラズマ
    処理装置の真空チャンバ内を、該真空チャンバの内部で
    プラズマ化したガスを用いてクリーニングする、プラズ
    マ処理装置のクリーニング方法において、 前記2つの電極の両方に高周波電力を印加してクリーニ
    ングを行うことを特徴とするプラズマ処理装置のクリー
    ニング方法。
  2. 【請求項2】2つの電極を備える平行平板型のプラズマ
    処理装置の真空チャンバ内を、該真空チャンバの外部で
    活性化したガスを用いてクリーニングする、プラズマ処
    理装置のクリーニング方法において、 前記2つの電極の少なくとも1つに高周波電力を印加し
    てクリーニングを行うことを特徴とするプラズマ処理装
    置のクリーニング方法。
  3. 【請求項3】被処理体に所定の処理を施す処理装置の真
    空チャンバ内を、該真空チャンバの外部で活性化したガ
    スを用いてクリーニングする、処理装置のクリーニング
    方法において、 クリーニングするためのガスを、前記真空チャンバに設
    けられた3カ所以上のガス供給口から該真空チャンバ内
    に供給することを特徴とする処理装置のクリーニング方
    法。
  4. 【請求項4】被処理体に所定の処理を施す処理装置の真
    空チャンバ内を、該真空チャンバの外部で活性化したガ
    スを用いてクリーニングする、処理装置のクリーニング
    方法において、 前記ガスは、前記真空チャンバの内部に多方向に吹き出
    されて供給されることを特徴とする処理装置のクリーニ
    ング方法。
  5. 【請求項5】被処理体に所定の処理を施す処理装置の真
    空チャンバ内を、該真空チャンバの外部で活性化したガ
    スを用いてクリーニングする、処理装置のクリーニング
    方法において、 クリーニング中、前記真空チャンバの内部の圧力を10
    0〜400Paとすることを特徴とする処理装置のクリ
    ーニング方法。
  6. 【請求項6】被処理体に所定の処理を施す処理装置の真
    空チャンバ内を、活性化したガスを用いてクリーニング
    する、処理装置のクリーニング方法において、 クリーニング中、前記真空チャンバの内部を加熱するこ
    とを特徴とする処理装置のクリーニング方法。
  7. 【請求項7】前記加熱を、前記真空チャンバの壁の内部
    に温調媒体を通して行うことを特徴とする請求項6に記
    載の処理装置のクリーニング方法。
  8. 【請求項8】前記加熱を、前記真空チャンバの壁の内部
    に備えたヒータにより行うことを特徴とする請求項6に
    記載の処理装置のクリーニング方法。
  9. 【請求項9】前記加熱を、前記真空チャンバの壁に設け
    られた窓を通した、該真空チャンバの外部からの光の照
    射により行うことを特徴とする請求項6に記載の処理装
    置のクリーニング方法。
  10. 【請求項10】互いに並行する2つの平板電極を備えた
    真空チャンバと、 前記真空チャンバをクリーニングするためのガスを該真
    空チャンバ内に供給可能に構成されたガス供給ライン
    と、 から構成される処理装置において、 前記2つの平板電極の両方に高周波電力を印加してクリ
    ーニングを行うことを特徴とするプラズマ処理装置。
  11. 【請求項11】互いに並行する2つの平板電極を備えた
    真空チャンバと、 前記真空チャンバをクリーニングするためのガスを該真
    空チャンバ内に供給可能に構成されたガス供給ライン
    と、 前記ガス供給ラインを介して、前記真空チャンバに供給
    されるガスを供給可能に構成されたガス活性化手段と、 から構成される処理装置において、 前記2つの平板電極の少なくとも1つに高周波電力を印
    加してクリーニングを行うことを特徴とするプラズマ処
    理装置。
  12. 【請求項12】真空チャンバと、 前記真空チャンバをクリーニングするためのガスを該真
    空チャンバ内に供給可能に構成されたガス供給ライン
    と、 前記ガス供給ラインを介して、前記真空チャンバに供給
    されるガスを供給可能に構成されたガス活性化手段と、 から構成される処理装置において、 前記ガス供給ラインは、前記真空チャンバ内に設けられ
    た3カ所以上のガス供給口から該真空チャンバ内にガス
    を供給することを特徴とする処理装置。
  13. 【請求項13】前記ガス供給口は、気体が通過可能に構
    成された複数の開口部を有する蓋部材で覆われ、該開口
    部の総平面面積は、該ガス供給口の面積に対して、50
    〜80%であることを特徴とする請求項12に記載の処
    理装置。
  14. 【請求項14】前記ガス供給口は、気体が通過可能に構
    成された複数の開口部を有する蓋部材で覆われ、該開口
    部は、前記クリーニングガスを多方向に吹き出し可能に
    構成されていることを特徴とする請求項12又は13に
    記載の処理装置。
  15. 【請求項15】真空チャンバと、 前記真空チャンバをクリーニングするためのガスを該真
    空チャンバ内に供給可能に構成されたガス供給ライン
    と、 前記ガス供給ラインを介して、前記真空チャンバに供給
    されるガスを供給可能に構成されたガス活性化手段と、 から構成される処理装置において、 クリーニング中、前記真空チャンバの内部の圧力を10
    0〜400Paとすることを特徴とする処理装置。
  16. 【請求項16】真空チャンバと、 前記真空チャンバをクリーニングするためのガスを該真
    空チャンバ内に供給可能に構成されたガス供給ライン
    と、 前記ガス供給ラインを介して、前記真空チャンバに供給
    されるガスを供給可能に構成されたガス活性化手段と、 から構成される処理装置において、 クリーニング中、前記真空チャンバの内部を加熱するこ
    とを特徴とする処理装置。
  17. 【請求項17】前記加熱を、前記真空チャンバの壁の内
    部に温調媒体を通して行うことを特徴とする請求項16
    に記載の処理装置。
  18. 【請求項18】前記加熱を、前記真空チャンバの壁の内
    部に備えたヒータにより行うことを特徴とする請求項1
    6に記載の処理装置。
  19. 【請求項19】前記加熱を、前記真空チャンバの壁に設
    けられた窓を通した、該真空チャンバの外部からの光の
    照射により行うことを特徴とする請求項16に記載の処
    理装置。
JP2000239426A 2000-08-08 2000-08-08 処理装置のクリーニング方法及び処理装置 Pending JP2002057106A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2000239426A JP2002057106A (ja) 2000-08-08 2000-08-08 処理装置のクリーニング方法及び処理装置
KR1020037001839A KR100554643B1 (ko) 2000-08-08 2001-08-07 처리 장치 및 그것의 세정 방법
US10/344,042 US20040065344A1 (en) 2000-08-08 2001-08-07 Processing apparatus and cleaning method
PCT/JP2001/006784 WO2002012587A2 (en) 2000-08-08 2001-08-07 Processing apparatus and cleaning method
TW090119318A TW550674B (en) 2000-08-08 2001-08-08 Processing apparatus and a cleaning method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000239426A JP2002057106A (ja) 2000-08-08 2000-08-08 処理装置のクリーニング方法及び処理装置

Publications (1)

Publication Number Publication Date
JP2002057106A true JP2002057106A (ja) 2002-02-22

Family

ID=18730966

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000239426A Pending JP2002057106A (ja) 2000-08-08 2000-08-08 処理装置のクリーニング方法及び処理装置

Country Status (5)

Country Link
US (1) US20040065344A1 (ja)
JP (1) JP2002057106A (ja)
KR (1) KR100554643B1 (ja)
TW (1) TW550674B (ja)
WO (1) WO2002012587A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006148095A (ja) * 2004-11-04 2006-06-08 Applied Materials Inc 六フッ化硫黄リモートプラズマ源洗浄
WO2006120843A1 (ja) * 2005-05-11 2006-11-16 Tokyo Electron Limited プラズマクリーニング方法、成膜方法およびプラズマ処理装置
JP2009512221A (ja) * 2005-10-17 2009-03-19 オーツェー・エリコン・バルザース・アーゲー 大面積pecvd装置のためのリモートプラズマ源を使用したクリーニング手段
WO2011141986A1 (ja) * 2010-05-10 2011-11-17 株式会社アルバック プラズマ成膜装置及び成膜方法
JP2013030696A (ja) * 2011-07-29 2013-02-07 Ulvac Japan Ltd プラズマエッチング装置、及びプラズマクリーニング方法
WO2023047960A1 (ja) * 2021-09-21 2023-03-30 東京エレクトロン株式会社 プラズマ処理を行う装置、及びプラズマ処理を行う方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
KR100465877B1 (ko) * 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
US20040055708A1 (en) * 2002-09-24 2004-03-25 Infineon Technologies Richmond, Lp Apparatus and method for in-situ cleaning of borosilicate (BSG) and borophosphosilicate (BPSG) films from CVD chambers
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
JP2004335789A (ja) * 2003-05-08 2004-11-25 Tadahiro Omi 基板処理装置のクリーニング方法
JP2005033173A (ja) * 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US20050211264A1 (en) * 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
KR100584781B1 (ko) * 2004-12-02 2006-05-29 삼성전자주식회사 반도체 장치의 제조 방법 및 이를 이용한 박막 제조 방법
KR100737716B1 (ko) * 2005-05-26 2007-07-10 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100712529B1 (ko) * 2005-09-02 2007-04-30 삼성전자주식회사 플라즈마 어플리케이터의 인시츄 세정 방법 및 그 세정방법을 채용한 플라즈마 어플리케이터
US7942970B2 (en) * 2005-12-20 2011-05-17 Momentive Performance Materials Inc. Apparatus for making crystalline composition
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
KR100765128B1 (ko) * 2006-05-30 2007-10-11 주식회사 아토 Cvd 챔버의 세정 장치 및 방법
BRPI0803774B1 (pt) * 2008-06-11 2018-09-11 Univ Federal De Santa Catarina Ufsc processo e reator de plasma para tratamento de peças metálicas
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
JP5431901B2 (ja) * 2008-12-26 2014-03-05 キヤノンアネルバ株式会社 インライン真空処理装置、インライン真空処理装置の制御方法、情報記録媒体の製造方法
KR101126769B1 (ko) * 2009-09-22 2012-03-29 삼성에스디아이 주식회사 다수의 3상 전원 램프를 포함하는 램프 히터 및 이를 이용한 이차 전지 제조용 건조 장치
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
KR102040090B1 (ko) 2015-02-24 2019-11-28 에이피시스템 주식회사 기판 처리 장치, 그 세정방법 및 운용방법
US9767996B2 (en) * 2015-08-21 2017-09-19 Lam Research Corporation Application of powered electrostatic faraday shield to recondition dielectric window in ICP plasmas

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5432184A (en) * 1977-08-18 1979-03-09 Toshiba Corp Forming apparatus for nitride coating
JP2635021B2 (ja) * 1985-09-26 1997-07-30 宣夫 御子柴 堆積膜形成法及びこれに用いる装置
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
JP3768575B2 (ja) * 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド Cvd装置及びチャンバ内のクリーニングの方法
JP4317608B2 (ja) * 1999-01-18 2009-08-19 東京エレクトロン株式会社 成膜装置
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006148095A (ja) * 2004-11-04 2006-06-08 Applied Materials Inc 六フッ化硫黄リモートプラズマ源洗浄
WO2006120843A1 (ja) * 2005-05-11 2006-11-16 Tokyo Electron Limited プラズマクリーニング方法、成膜方法およびプラズマ処理装置
JP2009512221A (ja) * 2005-10-17 2009-03-19 オーツェー・エリコン・バルザース・アーゲー 大面積pecvd装置のためのリモートプラズマ源を使用したクリーニング手段
WO2011141986A1 (ja) * 2010-05-10 2011-11-17 株式会社アルバック プラズマ成膜装置及び成膜方法
JP2013030696A (ja) * 2011-07-29 2013-02-07 Ulvac Japan Ltd プラズマエッチング装置、及びプラズマクリーニング方法
WO2023047960A1 (ja) * 2021-09-21 2023-03-30 東京エレクトロン株式会社 プラズマ処理を行う装置、及びプラズマ処理を行う方法

Also Published As

Publication number Publication date
WO2002012587A3 (en) 2003-03-20
KR100554643B1 (ko) 2006-02-24
WO2002012587A2 (en) 2002-02-14
KR20030019912A (ko) 2003-03-07
US20040065344A1 (en) 2004-04-08
TW550674B (en) 2003-09-01

Similar Documents

Publication Publication Date Title
JP2002057106A (ja) 処理装置のクリーニング方法及び処理装置
US10304691B2 (en) Method of etching silicon oxide and silicon nitride selectively against each other
JP6679591B2 (ja) プロセス均一性を高めるための方法およびシステム
US7651586B2 (en) Particle removal apparatus and method and plasma processing apparatus
WO2017192249A1 (en) Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
US20030119328A1 (en) Plasma processing apparatus, and cleaning method therefor
US20080283086A1 (en) Substrate processing apparatus and cleaning method therefor
JP2001156051A (ja) プラズマ処理方法およびプラズマ処理装置
US10550470B2 (en) Film forming apparatus and operation method of film forming apparatus
US5902494A (en) Method and apparatus for reducing particle generation by limiting DC bias spike
JPH10330944A (ja) 基板処理装置
JPH0697154A (ja) 反応装置の自己洗浄方法
KR20050058464A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP4123428B2 (ja) エッチング方法
US7192532B2 (en) Dry etching method
KR20180124773A (ko) 플라즈마 처리 장치의 세정 방법
JP2006319042A (ja) プラズマクリーニング方法、成膜方法
JP6085106B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP3166745B2 (ja) プラズマ処理装置ならびにプラズマ処理方法
JPH10284291A (ja) プラズマ処理装置及び処理方法
CN110622282B (zh) 在基板和腔室部件上沉积金属硅化物层
JP3986808B2 (ja) ドライエッチング方法
JP7357182B1 (ja) 基板処理装置のメンテナンス方法及び基板処理装置
JP2002025914A (ja) 基板処理装置
JPH11111708A (ja) プラズマ成膜処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060301

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080708

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080908

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081007

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090217