CN110622282B - 在基板和腔室部件上沉积金属硅化物层 - Google Patents

在基板和腔室部件上沉积金属硅化物层 Download PDF

Info

Publication number
CN110622282B
CN110622282B CN201880031496.5A CN201880031496A CN110622282B CN 110622282 B CN110622282 B CN 110622282B CN 201880031496 A CN201880031496 A CN 201880031496A CN 110622282 B CN110622282 B CN 110622282B
Authority
CN
China
Prior art keywords
layer
tungsten
chamber
metal silicide
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880031496.5A
Other languages
English (en)
Other versions
CN110622282A (zh
Inventor
P·K·库尔施拉希萨
王家锐
K·D·李
M·嘉德瑞
X·闵
P·康纳斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202310953875.2A priority Critical patent/CN116978782A/zh
Publication of CN110622282A publication Critical patent/CN110622282A/zh
Application granted granted Critical
Publication of CN110622282B publication Critical patent/CN110622282B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本公开的实施方式大体上涉及用于在基板和腔室部件上沉积金属硅化物层的方法和装置。在一个实施方式中,形成硬掩膜的方法包括:将具有目标层的基板定位在处理腔室内、在所述目标层上形成包括金属硅化物的种晶层和在所述种晶层上沉积钨基主体层,其中所述金属硅化物层和所述钨基主体层形成硬掩膜。在另一实施方式中,调节等离子体处理腔室的部件的方法包括:使包括氩气或氦气的惰性气体从气体施加器流动到所述等离子体处理腔室中、使基板支撑件暴露于所述等离子体处理腔室内的等离子体和在所述基板支撑件的铝基表面上形成包括金属硅化物的陈化层。

Description

在基板和腔室部件上沉积金属硅化物层
技术领域
本公开的实施方式大体上涉及制造集成电路。更具体来说,本文中描述的实施方式提供用于在基板和腔室部件上沉积金属硅化物层的技术。
背景技术
硬掩膜用于转移用于光刻的图案。在基板上形成硬掩膜的方法在本领域中是众所周知的。一直以来需要可以传递高深宽比、不塌陷的蚀刻选择性结构的硬掩膜,其允许下一代器件利用垂直堆叠方案达成较高的器件密度和较低的成本。钨基硬掩膜已经显示满足这一需要的前景,因为其具有高弹性模量、高密度、杰出的蚀刻选择性并且易于剥离。举例来说,当与常规的非晶碳基膜相比时,非晶钨硬掩膜展现高出两倍多的蚀刻选择性和机械稳定性。然而,来自厚钨基层的氟经常快速地热扩散到基板与主体膜的界面中,从而使得常规地沉积厚层不可实行。此外,钨基膜对常见沉积在基板上的由氧化硅、氮化硅、非晶硅和多晶硅制成的膜粘附性不佳。因此,钨基膜的沉积当前局限于薄层,所述薄层通常用作高深宽比应用的缝隙填充。
另外,当在沉积之后使用氟基清洁剂的等离子体清洁部件上沉积有钨膜的腔室时,氟化物离子与腔室中的铝反应,举例来说与用于制造加热器的氮化铝(AlN)反应。反应形成氟化铝(AlFx),其导致工艺漂移和基板上的颗粒产生。基板上的AlFx使得基板产生缺陷并且对其进行清洁效率较低,因为AlFx升华到腔室中的喷头或壁上。因此,AlFx污染和剥落通常是不希望的。
因此,需要改良的方法和装置用于形成基板上的厚钨基硬掩膜和防止由AlFx污染腔室部件导致的缺陷。
发明内容
本公开的实施方式大体上涉及用于在基板和腔室部件上沉积金属硅化物层(例如硅化钨)的方法和装置。在一个实施方式中,公开了一种通过在基板上沉积金属硅化物层形成硬掩膜的方法。该方法包括:将具有目标层的基板定位在处理腔室内,在目标层上形成金属硅化物的种晶层,以及在种晶层上沉积钨基主体层,其中金属硅化物层和钨基主体层形成硬掩膜。
在另一实施方式中,公开了一种通过在腔室部件上沉积金属硅化物层调节等离子体处理腔室的部件的方法。该方法包括:使惰性气体从气体施加器流动到等离子体处理腔室中,使基板支撑件暴露于等离子体处理腔室内的等离子体,以及在基板支撑件的铝基表面上形成金属硅化物的陈化层。惰性气体包括氩气或氦气。
在又一个实施方式中,公开了一种处理腔室。该处理腔室包括:具有界定在其中的腔室容积的腔室主体、安置在腔室容积内的基板支撑件、与腔室主体耦合的盖和通过盖与腔室容积流体连接的气体施加器。气体施加器包括:管状主体、穿过管状主体形成并且与腔室容积流体耦合的通道以及穿过管状主体形成的第一交叉孔和第二交叉孔。第一交叉孔被配置用于将第一气体递送到通道中。第二交叉孔相对于管状主体的中心线在第一交叉孔下方轴向地间隔开并且被配置用于将第二气体递送到通道中。
附图说明
为了能够详细地理解本公开的上述特征结构所用方式,可以参考实施方式进行上文简要概述的本公开的更具体的描述,实施方式中的一些在所附附图中说明。然而,应注意,所附附图仅示出了本公开的典型实施方式,并且因此不应视为限制本公开的范围,因为本公开可以允许其他等效实施方式。
图1描绘可用于实践本文中描述的实施方式的等离子体增强化学气相沉积(plasma enhanced chemical vapor deposition,PECVD)腔室的简化正视横截面图。
图2描绘根据本公开的一个实施方式的图1的腔室的气体施加器的部分横截面图。
图3为根据本公开的一个实施方式的用于在放置于图1的腔室中的基板上形成硬掩膜的方法的框图。
图4A到图4G描绘根据本公开的一个实施方式的使用硬掩膜在基板上图案化目标层的各种阶段。
图5为根据本公开的一个实施方式的用于调节等离子体处理腔室的部件的方法的框图。
图6A到图6B描绘根据本公开的一个实施方式的调节等离子体处理腔室的部件的两个阶段。
为了便于理解,在可能的情况下已使用相同的附图标记来指代各附图公用的相同的要素。应理解,一个实施方式的要素和特征结构可有利地并入到其他实施方式中而无需进一步详述。
具体实施方式
本公开的实施方式大体上涉及用于在基板和腔室部件上沉积金属硅化物层的方法和装置。
下文将参考可以使用任何适合的薄膜沉积系统执行的PECVD工艺来描述本文中描述的实施方式。适合的系统的示例包括可以使用处理腔室的/>系统、系统、/>GT系统、/>XP PrecisionTM系统和/>SETM系统,它们可从加利福尼亚的圣克拉拉市(Santa Clara,CA)的应用材料公司(AppliedMaterials,Inc.)购得。也可以调整能够执行PECVD工艺的其他工具以受益于本文中描述的实施方式。本文中描述的装置为说明性的并且不应将其解释或解读为限制本文中描述的实施方式的范围。
通过原子层沉积(atomic layer deposition,ALD)沉积的种晶层(例如TiN、WSi、WB、WN膜)已显示对氧化硅和氮化硅的良好的粘附性,但不足以将厚钨基膜粘附到基板。本文中描述的实施方式允许形成良好地粘附基板的厚钨基膜。与常规ALD膜相比,本文中描述的方法和装置每单位厚度引入更多氟捕集器,其允许沉积增强钨基膜对基板粘附性的薄种晶层。所述方法使用交替的气流脉冲以减小膜的晶粒尺寸和粗糙度并且改良膜粘附性。可以交替一或多种气体的气流(例如H2、He、B2H6、碳氢化合物、N2、N2O、NO2、N2O4、NH3、NF3、SiH4、Si2H6、CH4、C2H2、C3H6、C4H8、C5H10、O2、O3、H2O、Ar、WF6、WCI6、W(CO)6、以及钨的有机金属化合物)。用于各气流脉冲的时段可以相同或不同,在例如0.1到100秒范围内。各气流脉冲的时长可以在一定数量的脉冲循环中逐渐降低或在一定数量的脉冲循环中逐渐增加。在沉积工艺期间的不同气体的交替气流脉冲使结晶分裂,其产生捕集氟的缺陷并且允许各向同性生长。由于在沉积膜的界面上和内部的较小晶粒尺寸和更好的化学键合,改良了沉积膜的形态和粘附性。
本文中公开的方法和装置描述在腔室部件上形成例如硅化钨层的陈化层和在基板上形成用于沉积钨基主体层的种晶层。然而,应注意,本文中公开的方法和装置对形成其他金属硅化物层(诸如但不限于硅化钼、硅化钛等)同样地适用。
图1描绘其中可以实践所公开技术的PECVD腔室100的简化正视横截面图的一个示例。腔室100大体上包括腔室主体102,腔室主体102支撑腔室盖104。腔室盖104通过铰链(未显示)附接到腔室主体102。腔室主体102包括侧壁112和底壁116,与腔室盖104一起界定内部腔室容积120。腔室盖104与气体分配系统108对接,用于将反应物和/或清洁气体递送到腔室容积120中。圆周泵送通道125在侧壁112中形成并且与泵送系统164耦合。泵送系统164被配置用于将气体从腔室容积120排出并且控制腔室容积120内的压力。基板支撑件128安置在腔室容积120中。基板支撑件128具有穿过通道122的杆126,通道122穿过底壁116形成。被配置用于致动基板升降杆161的棒130通过穿过底壁116形成的另一通道124。棒130与致动器107耦合。
基板支撑件128安置在腔室容积120中并且被配置用于在处理期间支撑和固持基板121。驱动系统103与基板支撑件128耦合以降低或抬升腔室容积120内的基板支撑件128。驱动系统103与基板支撑件128耦合以降低或抬升腔室容积120内的基板支撑件128。
在一个实施方式中,基板支撑件128为静电卡盘。基板支撑件128包括至少一个夹紧电极123,向所述夹紧电极施加电压以用静电固定其上的基板121。电极123由通过低通滤波器177与电极123连接的直流(DC)电源176供电。基板支撑件128中的电极123可以是单极、双极、三极、叉指、分区的等等。在另一实施方式中,基板支撑件128不包括静电卡盘。
在一些实施方式中,基板支撑件128包括一或多个加热元件605(图6A到图6B中所示),诸如电阻元件,以将定位在其上的基板121加热到所要的工艺温度。或者,通过诸如灯组件之类的外部加热元件加热基板支撑件128。加热元件605也可以用于其中基板支撑件128被配置为静电卡盘的实施方式。
远程等离子体源162通过气体分配系统108的气体施加器140与腔室容积120流体连接并且用于在处理期间产生电离自由基。电离自由基从远程等离子体源162流动穿过气体入口通道167到气体分配系统108中。远程等离子体源162与气体源169连接,气体源169将诸如清洁气体的气体供给到远程等离子体源162中。
至少两个分开的气体源163、168与气体分配系统108耦合。气体源163、168提供可以通过气体施加器140递送到腔室容积120中的不同的气体。
射频电源165通过阻抗匹配电路173与盖104下方的喷头组件142耦合。喷头组件142由环形基底板148组成,所述环形基底板148具有安置在其与面板146中间的阻挡板144。喷头组件142的面板146和通过诸如电容器178之类的高通滤波器接地的电极123形成电容式等离子体发生器。射频电源165向喷头组件142提供射频能量以促进喷头组件142的面板146与基板支撑件128之间的电容性等离子体产生。因此,电极123提供用于射频电源165的接地路径与来自直流电源176的电偏压两者以允许将基板121静电夹持到基板支撑件128。
射频电源165包括高频射频(high frequency radio frequency,HFRF)电源,例如13.56MHz射频发生器,和/或低频射频(low frequency radio frequency,LFRF)电源,例如300kHz射频发生器。低频射频电源提供低频发生与固定匹配元件两者。高频射频电源设计成与固定匹配一起使用并且调节递送到负载的功率,从而消除对正向和反射功率的担忧。
由陶瓷或其他适合的材料制成的腔室内衬127安置在腔室容积120中以保护侧壁112免受腐蚀性的处理环境的伤害。腔室内衬127由在侧壁112中形成的壁架129支撑。在腔室内衬127上形成多个排放口131。排放口131被配置用于将腔室容积120与泵送通道125流体连接。
图2显示穿过腔室盖104安置的用于将反应物和清洁气体递送到腔室容积120中的气体分配系统108的部分横截面图。气体分配系统108包括盖210、输出歧管220、隔离器230和气体施加器140。
输出歧管220和隔离器230在顶部互相堆叠并且具有穿过其中的通道239。气体施加器140安置在通道239中以使得其纵轴与通道239的纵轴共线对准。通道239的顶端与气体入口通道167连接。通道239的底端与腔室容积120连接并且面向喷头组件142,如图1中所示。输出歧管220具有顶表面221和底表面229。顶表面221与盖210耦合。底表面229与隔离器230的顶表面231耦合。输出歧管220具有与分开的气体源163、168耦合的第一导管222和第二导管226。盖210在顶端覆盖输出歧管220和气体施加器140。盖210可以覆盖通道239的一部分以保持通道239内的气体施加器140。
隔离器230具有顶表面231和底表面237。顶表面231与输出歧管220的底表面229耦合。底表面237与基底板148耦合。隔离器230具有内壁235,内壁235界定通道239的一部分。内壁235被配置用于将气体施加器140容纳在通道239内。隔离器230被配置用于向气体施加器140提供热和电隔离。
气体施加器140安置在通道239中。气体施加器140具有中心通道255,中心通道255被配置用于将气体递送到腔室容积120中。在一个实施方式中,气体施加器140为圆柱形,但在其他实施方式中,气体施加器140可以具有不同形状的其他横截面。气体施加器140穿过基底板148以允许中心通道255与腔室容积120流体连接。气体施加器140具有外壁240和内壁250。内壁250与外壁240在气体施加器140的顶表面241相遇。内壁250与外壁240也在气体施加器140的底表面249相遇。内壁250界定经过气体施加器140的中心通道255。内壁250具有中心部分246、第一锥形部分247和第二锥形部分248。内壁250通过第一锥形部分247与中心通道255顶端处的气体入口歧管167连接。内壁250通过第二锥形部分248与中心通道255底端处的基底板148连接。
外壁240界定穿过输出歧管220的通道239的一部分并且隔离器230安置在输出歧管220下方。外壁240具有第一部分242、第二部分243、第三部分245和第四部分244。第一部分242包括隔离器230的内壁235。第二部分243在输出歧管220中形成并且与第一部分242连接。第三部分245与第二部分243连接。第四部分244与第三部分245连接。第三部分245向外延伸并且具有大于第一部分242的直径的直径。第二部分243和第四部分244具有小于第一部分242的直径。第二部分243和第四部分244与输出歧管220的阶梯孔对接。阶梯孔包括通过台阶225与第二内壁227连接的第一内壁223。由第三部分245界定轮廓的凸缘290坐落于台阶225上。诸如但不限于O形环的密封件280提供气体施加器140与输出歧管220之间的密封以防止凸缘290周围的气体泄漏。因此,在第二部分243与输出歧管220的内壁227之间于凸缘290下方形成下部气室228。类似地,在第四部分244与输出歧管220的内壁223之间于凸缘290上方形成上部气室224。上部气室224通过导管222与气体源163流体连接。下部气室228通过导管226与气体源168流体连接。
气体施加器140具有第一交叉孔260,其被配置用于将上部气室224与中心通道255流体连接。气体施加器140可以视情况具有任何适合数量的第一交叉孔260。第一交叉孔260可以具有圆形、正方形或其他适合的几何形状的横截面形状以使得第一交叉孔260可以将流体有效地递送到中心通道255中。另外,第一交叉孔260可以在径向地朝内和朝下的角度上(朝向底表面249)形成。第一交叉孔260的朝内和朝下的角度以朝向腔室容积120和远离远程等离子体源162的方向向离开第一交叉孔260到中心通道255中的流体流提供动量。因此,第一交叉孔260的角度防止气体回流到与远程等离子体源162连接的气体入口通道167中,从而减少潜在的不需要的沉积和污染。气体施加器140具有第二交叉孔270,第二交叉孔270被配置用于将下部气室228与第一交叉孔260下方的中心通道255流体连接。气体施加器140可以视情况具有任何适合数量的第二交叉孔270。第二交叉孔270可以具有圆形、正方形或其他几何形状的横截面形状,以使得第二交叉孔270可以将流体递送到中心通道255中。
参考回图1,冷却通道147与气体分配系统108的基底板148耦合以在操作期间冷却基底板148。冷却进口145将诸如水之类的冷却剂流体递送到冷却通道147中。冷却剂流体通过冷却剂出口149离开冷却通道147。
如图1中所示,PECVD系统100进一步包括系统控制器176,其控制远程等离子体源162、射频电源165和直流电源176的操作。系统控制器175也控制泵送系统164、驱动系统103、致动器107、以及气体源163、168和169的操作。系统控制器175包括中央处理单元(central processing unit,CPU)、存储器和支持电路。CPU可以是可以用于工业环境的任何形式的通用计算机处理器。软件例程可以存储在存储器中,诸如随机存取存储器、只读存储器、软盘、或硬盘驱动器、或其他形式的数字存储器。支持电路常规地与CPU耦合并且可以包括高速缓冲存储器、时钟电路、输入/输出系统、电源等等。
包含气体施加器140的腔室100有利地用于在腔室100的腔室容积120中形成金属硅化物层。在一个实施方式中,在腔室100的部件上形成硅化钨陈化层,所述部件诸如但不限于基板支撑件128。在操作中,基板支撑件128内的电极123由直流电源176供电。开启基板支撑件128内的加热元件605(图6A到图6B中所示)以控制基板121的温度。通过导管222和气体施加器140的中心通道255将诸如氩气之类的惰性气体供给到腔室容积120中。替代地或另外,可以通过导管226和气体施加器140的中心通道255将诸如氦气之类的惰性气体供给到腔室容积120中。通过泵送系统164连续地将惰性气体供给到腔室容积120和从腔室容积120移除惰性气体。通过接通射频电源165在腔室容积120中产生等离子体(未显示)。腔室100的部件(包括基板支撑件128)暴露于该等离子体。
在一个示例中,通过气体施加器140以预定的时段使六氟化钨流入腔室容积120。随后,在引入或不引入惰性吹扫气体到腔室容积120中的情况下,通过泵送系统164从腔室容积120移除六氟化钨。随后,通过气体施加器140以预定的时段使硅烷流入腔室容积120。随后,在引入或不引入惰性吹扫气体到腔室容积120中的情况下,通过泵送系统164从腔室容积120移除硅烷。重复一次或多次引入和移除六氟化钨和硅烷的序列直到在腔室的基板支撑件128和其他部件上沉积均匀的硅化钨层。
在另一实施方式中,在安置在基板支撑件128上的基板121上形成硅化钨种晶层。基板121具有安置在其上的目标层。目标层为后续将被蚀刻以形成正在基板1212上形成的集成电路器件的一部分的材料层。在一些实施方式中,在将目标层放置在基板支撑件128上之前使其暴露于硅烷。基板支撑件128内的电极123由直流电源176通电。加热元件605在处理期间加热基板支撑件128。通过导管222和气体施加器140的中心通道255将诸如氩气之类的惰性气体供给到腔室容积120中。替代地或另外,通过导管226和气体施加器140的中心通道255将诸如氦气之类的惰性气体供给到腔室容积120中。通过泵送系统164连续地将惰性气体供给到腔室容积120和从腔室容积120移除惰性气体。任选地,通过接通射频电源165在腔室容积120中产生等离子体(未显示)。在这种情况下,使具有目标层的基板121暴露于该等离子体。
在一个示例中,通过气体施加器140以预定的时段使六氟化钨流入腔室容积120。如果使用等离子体,那么将六氟化钨与氩气混合。随后,在引入或不引入惰性吹扫气体的情况下通过泵送系统164将六氟化钨和氩气(如果使用氩气)从腔室容积120移除。随后通过气体施加器140以另一预定时段使硅烷流入腔室容积120。如果使用等离子体,那么使硅烷与氦气混合。随后,在引入或不引入惰性吹扫气体的情况下通过泵送系统164从腔室容积120移除硅烷和氦气(如果使用氦气)。重复引入和移除六氟化钨和硅烷的序列一次或更多次直到在目标层上面沉积均匀的硅化钨层作为种晶层。等离子体增强硅化钨层的形成。通过使较高比例(51-99%)的来自硅烷的硅与较低比例(1-49%)的来自六氟化钨的钨反应制造硅含量丰富的种晶层。随后在种晶层上沉积钨基主体层以形成硬掩膜。钨基主体层可以视情况掺杂有硼、碳、氮和/或硅。硬掩膜用于在基板121上产生图案。
图3是根据本公开的一个实施方式的在基板上形成硬掩膜的方法300的框图。方法300从框310开始,将具有目标层的基板定位在处理腔室内。目标层可以是将为后续蚀刻工艺的目标的氧化硅、氮化硅、非晶硅、多晶硅或另一电介质的层。在一些实施方式中,将基板定位在诸如但不限于安置在腔室内的静电卡盘之类的基板支撑件上。在一些其他实施方式中,将基板定位在等离子体处理腔室内。在那些实施方式中,通过气体施加器使包括氩气或氦气的惰性气体流入等离子体处理腔室的腔室容积。随后,在处理腔室内激励等离子体。如图4A中所示,将具有目标层410的基板400放置在处理腔室内,诸如如上所述的处理腔室100。
在框320,在目标层上形成种晶层。种晶层包括金属硅化物,诸如但不限于硅化钨、硅化钛或硅化钼。在本公开中,硅化钨被用作示例。在一些实施方式中,在形成种晶层前使目标层暴露于硅烷。另外,在一些实施方式中,在等离子体存在的情况下在目标层上形成包括硅化钨的种晶层。形成硅化钨层涉及数个操作。在第一操作中,在第一时段期间使六氟化钨从气体施加器流动到处理腔室的腔室容积中。在使用等离子体的实施方式中,将六氟化钨与氩气混合。在第二操作中,在引入或不引入惰性吹扫气体的情况下在第二时段期间从处理腔室移除六氟化钨和氩气(如果使用氩气)。在第三操作中,在第三时段期间使硅烷从气体施加器流动到处理腔室的腔室容积中。在使用等离子体的实施方式中,将硅烷与氦气混合。接着,在第四操作中,在引入或不引入惰性吹扫气体的情况下,在第四时段期间从处理腔室移除硅烷和氦气(如果使用氦气)。
第一、第二、第三和第四时段可以具有在约0.1秒到约100秒之间的时长。组合的第一、第二、第三与第四时段界定一个时间周期。在一些实施方式中,第一时段与第三时段相同、不同或随着各时间周期而改变时长。重复包括第一、第二、第三和第四操作的时间周期多次直到在基板的目标层上将硅化钨层沉积到所希望的厚度。图4B示出如何在目标层410上形成包括硅化钨的种晶层420。包括硅化钨的种晶层的厚度在约1nm到约100nm之间。在一些实施方式中,所形成的金属硅化物种晶层硅含量丰富,在室温下,种晶层的密度在约1.8g/cc到约15g/cc的范围内。在室温下,硅化钨种晶层的密度在约4.5g/cc到约10.0g/cc之间(与在室温下钨的约19.25g/cc和非晶硅的约2.3g/cc相比)。硅化钨密度朝向硅密度而非钨密度倾斜表明:与常规沉积的硅化钨相比,硅化钨种晶层中的硅浓度比钨更高。
在框330,在种晶层上沉积钨基主体层。由硅化钨制成的种晶层与钨基主体层一起形成硬掩膜,硬掩膜随后可以被图案化并且用于蚀刻目标层。如图4C中所示,钨基主体层430沉积在种晶层420上。种晶层420和钨基主体层430一起形成硬掩膜440。钨基主体层430可以由钨、碳化钨、氮化钨、碳氮化钨、碳化硼钨与氮化硼钨中的一个或更多个制成。钨基主体层430的厚度在约10nm到约5微米(5000nm)之间。
通过上述方法形成的硬掩膜用于将图案转移到安置在基板上的目标层,其涉及如图4D到图4G中所示的数个操作。在图4D中,将光刻胶层450安置在在主体层430上安置的硬掩膜440上并且在所述硬掩膜440上面将光刻胶层450图案化。在图4E中,通过蚀刻穿过通过图案化光刻胶层450形成的开口来移除硬掩膜440的数个部分,从而将图案转移到目标层410。现在将硬掩膜440界定为图案化硬掩膜440',图案化硬掩膜440'包括图案化种晶层420'和图案化主体层430'。在图4F中,通过图案化硬掩膜440'蚀刻掉目标层410,从而产生图案化目标层410'。最后在图4G中,例如通过灰化来移除图案化硬掩膜440'以完全地使图案化目标层410'暴露。
图5为调节等离子体处理腔室的部件(诸如上述处理腔室100或其他适合的处理腔室的基板支撑件128)的方法500的框图。方法500从框510开始,使惰性气体从气体施加器流动到其中安置有基板支撑件128的等离子体处理腔室中。惰性气体可以是氦气或氩气。另外参考图6A,基板支撑件128具有主体600。主体600具有用于支撑其上的基板121的顶表面601(如图1中所示)。主体600具有嵌在其中的加热元件605(图6A到图6B中所示)。
在框520,使安置在处理腔室内的基板支撑件128暴露于等离子体处理腔室内的等离子体。如图6A中所示,当暴露于等离子体时,基板支撑件128没有基板安置在其上。
在框530,如图6B中所示,在基板支撑件128的铝基表面上形成陈化层610。如图6B中所示,在基板支撑件128侧面上和下面沉积陈化层。虽然基板支撑件128是腔室100的由陈化层610调节的部件的代表,但也可以使用陈化层610调节腔室100的其他部件。腔室100的此类部件可以包括腔室盖104、侧壁112、底壁116、棒130、升降杆161和喷头组件142等。如图6B中所示,陈化层也沉积在喷头组件142和侧壁112上。陈化层包括金属硅化物,诸如但不限于硅化钨、硅化钛或硅化钼。在本公开中,将硅化钨用作示例。
在一些实施方式中,形成硅化钨层涉及数个操作。在第一操作中,在第一时段期间使六氟化钨从气体施加器流动到等离子体处理腔室的腔室容积中。在一些实施方式中,使六氟化钨与氩气混合。在第二操作中,在引入或不引入惰性吹扫气体的情况下,在第二时段期间从等离子体处理腔室移除六氟化钨和氩气。在第三操作中,在第三时段期间使硅烷从气体施加器流动到等离子体处理腔室的腔室容积中。在一些实施方式中,使硅烷与氦气混合。接着,在第四操作中,在引入或不引入惰性吹扫气体的情况下,在第四时段期间从等离子体处理腔室移除硅烷和氦气。第一、第二、第三和第四时段可以具有在约0.1秒到约100秒之间的时长。组合的第一、第二、第三与第四时段界定一个时间周期。在一些实施方式中,第一时段和第三时段相同、不同或随着各时间周期而改变时长。重复包括第一、第二、第三和第四操作的时间周期多次直到在腔室的无基板的基板支撑件和其他部件上将硅化钨层沉积到所希望的厚度。硅化钨陈化层的厚度在约10nm到约10微米(10000nm)之间。
本公开演示用于在基板上沉积薄金属硅化物层的方法和装置,其允许在基板上形成厚钨基硬掩膜层。由于钨基硬掩膜与硅基基板之间的粘附性和应力不匹配,引入到腔室中的氟自由基导致钨基硬掩膜层从硅基基板剥离。然而,在沉积厚钨基层前沉积在硅基基板上的薄金属硅化物种晶层捕集氟自由基,因此实质上抑制了氟到达种晶层下方的表面。由于钨基硬掩膜层与硅基基板之间的同质性,薄金属硅化物种晶层还提供足够的应力松弛。因此,可以在硅基基板上沉积掺杂有各种数量的硼、碳、氮和硅的各种厚钨基层。因此,由于薄金属硅化物种晶层,钨基层良好地粘附到硅基基板上,这允许执行后续蚀刻工艺而没有钨基层剥落。薄金属硅化物种晶层还去除与后续蚀刻工艺不兼容的元素。
本公开进一步演示用于在腔室部件上沉积薄金属硅化物陈化层以防止在腔室部件上形成AlFx的方法和装置。AlFx导致工艺漂移和基板上的颗粒污染,因此使得对其进行清洁的效率较低。使用本文中描述的气体施加器沉积的薄金属硅化物陈化层减轻了颗粒形成并且防止了基板污染。同时,由于金属硅化物陈化层良好地粘附到腔室部件的铝基表面上,因此随后引入到腔室中的任何氟离子均被捕集在金属硅化物陈化层内并且不与任何铝基表面反应而形成AlFx
尽管前述内容涉及本公开的特定实施方式,但应理解这些实施方式仅说明本公开的原理和应用。因此应理解,可以对说明性的实施方式进行诸多修改以达成其他实施方式,而不偏离所附权利要求书所界定的本公开的精神和范围。

Claims (12)

1.一种在基板上形成硬掩膜的方法,包括:
将具有目标层的基板定位在处理腔室内;
在所述目标层上形成包括金属硅化物的种晶层,其中在所述种晶层中,硅的浓度比所述金属硅化物中的金属的浓度更高;和
在所述种晶层上沉积钨基主体层,所述金属硅化物层和所述钨基主体层形成所述硬掩膜。
2.如权利要求1所述的方法,其中在所述目标层上形成包括金属硅化物的种晶层包括:
(A)以第一时段使金属六氟化物从气体施加器流动到所述处理腔室中;
(B)以第二时段从所述处理腔室移除所述金属六氟化物;
(C)以第三时段使硅烷从所述气体施加器流动到所述处理腔室中;
(D)以第四时段从所述处理腔室移除所述硅烷,所述第一、第二、第三和第四时段构成时间周期;和
(E)重复(A)、(B)、(C)和(D)。
3.如权利要求1所述的方法,进一步包括:
在形成所述种晶层前使所述目标层暴露于硅烷。
4.如权利要求1所述的方法,进一步包括:
图案化所述硬掩膜;
穿过图案化的所述硬掩膜蚀刻所述目标层;和
移除所述硬掩膜。
5.如权利要求1所述的方法,其中所述目标层包括氧化硅、氮化硅、非晶硅与多晶硅中的至少一个。
6.如权利要求1所述的方法,其中所述钨基主体层包括钨、碳化钨、氮化钨、碳氮化钨、碳化硼钨与氮化硼钨中的至少一个。
7.如权利要求1所述的方法,其中所述种晶层的厚度在1nm到100nm之间。
8.如权利要求1所述的方法,其中所述钨基主体层的厚度在10nm到5000nm之间。
9.一种在基板上形成层的方法,包括:
将具有目标层的基板定位在处理腔室内;
在所述目标层上形成包括金属硅化物的种晶层,其中在所述种晶层中,硅的浓度比所述金属硅化物中的金属的浓度更高;
在所述种晶层上沉积钨基主体层,所述金属硅化物层和所述钨基主体层形成硬掩膜;
使惰性气体从气体施加器流动到所述处理腔室中,所述惰性气体包括氩气或氦气;
在所述处理腔室内激励等离子体;和
在所述等离子体存在的情况下在所述目标层上形成所述包括所述金属硅化物的种晶层。
10.如权利要求9所述的方法,其中形成所述种晶层包括:
(A)以第一时段使与氩气混合的金属六氟化物从所述气体施加器流动到所述处理腔室中;
(B)以第二时段从所述处理腔室移除所述与氩气混合的金属六氟化物;
(C)以第三时段使与氦气混合的硅烷从所述气体施加器流动到所述处理腔室中;
(D)以第四时段从所述处理腔室移除所述与氦气混合的硅烷,所述第一、第二、第三和第四时段构成时间周期;和
(E)重复(A)、(B)、(C)和(D)。
11.如权利要求9所述的方法,其中所述种晶层包括硅化钨、硅化钛或硅化钼。
12.如权利要求9所述的方法,其中形成所述种晶层进一步包括:
形成富含硅的种晶层。
CN201880031496.5A 2017-05-12 2018-05-11 在基板和腔室部件上沉积金属硅化物层 Active CN110622282B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310953875.2A CN116978782A (zh) 2017-05-12 2018-05-11 在基板和腔室部件上沉积金属硅化物层

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762505672P 2017-05-12 2017-05-12
US62/505,672 2017-05-12
PCT/US2018/032267 WO2018209200A2 (en) 2017-05-12 2018-05-11 Deposition of metal silicide layers on substrates and chamber components

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310953875.2A Division CN116978782A (zh) 2017-05-12 2018-05-11 在基板和腔室部件上沉积金属硅化物层

Publications (2)

Publication Number Publication Date
CN110622282A CN110622282A (zh) 2019-12-27
CN110622282B true CN110622282B (zh) 2023-08-04

Family

ID=64096189

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202310953875.2A Pending CN116978782A (zh) 2017-05-12 2018-05-11 在基板和腔室部件上沉积金属硅化物层
CN201880031496.5A Active CN110622282B (zh) 2017-05-12 2018-05-11 在基板和腔室部件上沉积金属硅化物层

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202310953875.2A Pending CN116978782A (zh) 2017-05-12 2018-05-11 在基板和腔室部件上沉积金属硅化物层

Country Status (5)

Country Link
US (2) US10734232B2 (zh)
JP (2) JP7221879B2 (zh)
KR (2) KR20230127369A (zh)
CN (2) CN116978782A (zh)
WO (1) WO2018209200A2 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11862475B2 (en) * 2020-10-15 2024-01-02 Applied Materials, Inc. Gas mixer to enable RPS purging

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009024252A (ja) * 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法
CN101383270A (zh) * 2007-09-03 2009-03-11 海力士半导体有限公司 形成半导体器件微图案的方法
CN106024605A (zh) * 2015-03-24 2016-10-12 朗姆研究公司 用于硬掩模的金属介电膜的沉积

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6037265A (en) * 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
TWI335615B (en) 2002-12-27 2011-01-01 Hynix Semiconductor Inc Method for fabricating semiconductor device using arf photolithography capable of protecting tapered profile of hard mask
KR20050013817A (ko) * 2003-07-29 2005-02-05 삼성전자주식회사 선택적 실리사이드 형성방법을 이용한 반도체 소자의제조방법
TWI319204B (en) * 2004-10-12 2010-01-01 Hynix Semiconductor Inc Method for fabricating semiconductor device using tungsten as sacrificial hard mask
KR100605500B1 (ko) * 2005-03-03 2006-07-28 삼성전자주식회사 라인형 활성영역을 갖는 반도체소자들 및 그 제조방법들
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US8481417B2 (en) * 2007-08-03 2013-07-09 Micron Technology, Inc. Semiconductor structures including tight pitch contacts and methods to form same
US7659184B2 (en) * 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US8647989B2 (en) * 2011-04-15 2014-02-11 United Microelectronics Corp. Method of forming opening on semiconductor substrate
US9318598B2 (en) * 2014-05-30 2016-04-19 Texas Instruments Incorporated Trench MOSFET having reduced gate charge
KR102171265B1 (ko) * 2014-07-08 2020-10-28 삼성전자 주식회사 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009024252A (ja) * 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法
CN101383270A (zh) * 2007-09-03 2009-03-11 海力士半导体有限公司 形成半导体器件微图案的方法
CN106024605A (zh) * 2015-03-24 2016-10-12 朗姆研究公司 用于硬掩模的金属介电膜的沉积

Also Published As

Publication number Publication date
WO2018209200A3 (en) 2018-12-13
WO2018209200A2 (en) 2018-11-15
US20180330951A1 (en) 2018-11-15
JP7221879B2 (ja) 2023-02-14
US20200357643A1 (en) 2020-11-12
CN116978782A (zh) 2023-10-31
US10734232B2 (en) 2020-08-04
US10950445B2 (en) 2021-03-16
KR20230127369A (ko) 2023-08-31
JP2020520116A (ja) 2020-07-02
JP2023065378A (ja) 2023-05-12
CN110622282A (zh) 2019-12-27
KR20190141256A (ko) 2019-12-23
KR102601706B1 (ko) 2023-11-10

Similar Documents

Publication Publication Date Title
JP7393501B2 (ja) 前駆体の流れを改善する半導体処理チャンバ
JP7464642B2 (ja) マルチゾーンガス分配システム及び方法
US11725274B2 (en) Integrated cluster tool for selective area deposition
JP7180999B6 (ja) 複数の前駆体の流れのための半導体処理チャンバ
US10062564B2 (en) Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
TWI704635B (zh) 增進製程均勻性的方法及系統
KR102594473B1 (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
US9378969B2 (en) Low temperature gas-phase carbon removal
TWI790265B (zh) 改良之金屬接觸定位結構
JP2021523558A (ja) パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
US20220216064A1 (en) Plasma-assisted etching of metal oxides
JP4123428B2 (ja) エッチング方法
TWI760472B (zh) 成膜方法
JP2023065378A (ja) 基板及びチャンバ部品上への金属ケイ素化合物層の堆積
US7581550B2 (en) Method of cleaning reaction chamber using substrate having catalyst layer thereon
JP2024010798A (ja) プラズマ処理方法及びプラズマ処理システム
TW202412087A (zh) 高選擇性氧化矽移除之方法
JP2021521326A (ja) 高温アモルファスカーボン堆積の厚膜堆積中の自発的アークの解決
JP2020177958A (ja) 基板処理方法及び基板処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant