KR102601706B1 - 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착 - Google Patents

기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착 Download PDF

Info

Publication number
KR102601706B1
KR102601706B1 KR1020197036223A KR20197036223A KR102601706B1 KR 102601706 B1 KR102601706 B1 KR 102601706B1 KR 1020197036223 A KR1020197036223 A KR 1020197036223A KR 20197036223 A KR20197036223 A KR 20197036223A KR 102601706 B1 KR102601706 B1 KR 102601706B1
Authority
KR
South Korea
Prior art keywords
layer
forming
substrate
tungsten
processing chamber
Prior art date
Application number
KR1020197036223A
Other languages
English (en)
Other versions
KR20190141256A (ko
Inventor
프라샨트 쿠마르 쿨쉬레쉬타
자루이 왕
광덕 더글라스 리
밀린드 가드레
샤오콴 민
폴 코너스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237028384A priority Critical patent/KR20230127369A/ko
Publication of KR20190141256A publication Critical patent/KR20190141256A/ko
Application granted granted Critical
Publication of KR102601706B1 publication Critical patent/KR102601706B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

본 개시내용의 실시예들은 일반적으로, 기판들 및 챔버 컴포넌트들 상에 금속 실리사이드 층들을 증착하기 위한 방법들 및 장치에 관한 것이다. 일 실시예에서, 하드마스크를 형성하는 방법은, 타겟 층을 갖는 기판을 프로세싱 챔버 내에 포지셔닝하는 단계, 금속 실리사이드를 포함하는 시드 층을 타겟 층 상에 형성하는 단계 및 텅스텐계 벌크 층을 시드 층 상에 증착하는 단계를 포함하며, 금속 실리사이드 층 및 텅스텐계 벌크 층은 하드마스크를 형성한다. 다른 실시예에서, 플라즈마 프로세싱 챔버의 컴포넌트들을 컨디셔닝하는 방법은, 아르곤 또는 헬륨을 포함하는 불활성 가스를 가스 애플리케이터로부터 플라즈마 프로세싱 챔버 내로 유동시키는 단계, 플라즈마 프로세싱 챔버 내의 플라즈마에 기판 지지부를 노출시키는 단계 및 금속 실리사이드를 포함하는 시즈닝 층을 기판 지지부의 알루미늄계 표면 상에 형성하는 단계를 포함한다.

Description

기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착
[0001] 본 개시내용의 실시예들은 일반적으로 집적 회로들의 제조에 관한 것이다. 더 구체적으로, 본원에서 설명되는 실시예들은 기판들 및 챔버 컴포넌트들 상에 금속 실리사이드 층들을 증착하기 위한 기법들을 제공한다.
[0003] 하드마스크들은 포토리소그래피를 위해 패턴들을 전사하는 데 사용된다. 기판 상에 하드마스크를 형성하는 방법들은 당해 기술분야에 잘 알려져 있다. 차세대 디바이스들이 수직 스택 방식들을 활용하여 더 높은 디바이스 밀도 및 더 낮은 비용을 달성하는 것을 가능하게 하는, 고종횡비, 비-붕괴 에칭-선택적 구조들을 전달할 수 있는 하드마스크들이 계속해서 필요하다. 텅스텐계 하드마스크들은, 높은 탄성 계수, 높은 밀도, 우수한 에칭-선택성, 및 스트리핑의 용이함으로 인해 이러한 필요성을 충족시킬 가능성을 보여주었다. 예컨대, 비정질 텅스텐 하드마스크들은, 종래의 비정질 카본계 막들과 비교할 때, 2배 넘게 더 높은 에칭 선택성 및 기계적 안정성을 보인다. 그러나, 두꺼운 텅스텐계 층들로부터의 플루오린은 종종 기판과 벌크 막의 계면으로 열적으로 빠르게 확산되어, 두꺼운 층들을 통상적으로 증착하는 것을 실행불가능하게 만든다. 또한, 텅스텐계 막들은, 일반적으로 기판들 상에 증착되는 실리콘 옥사이드, 실리콘 나이트라이드, 비정질 실리콘, 및 폴리실리콘으로 만들어진 막들에 대해 불충분한 접착력을 갖는다. 따라서, 텅스텐계 막들의 증착은 현재, 고종횡비 애플리케이션들을 위한 갭-필(gap-fill)로서 전형적으로 사용되는 얇은 층들로 제한된다.
[0004] 추가적으로, 컴포넌트들 상에 증착된 텅스텐 막들을 갖는 챔버들이 플루오린계 세정제들의 플라즈마를 사용하여 증착 후에 세정될 때, 플루오라이드 이온들은 챔버 내의 알루미늄, 예컨대 히터들을 제조하는 데 사용된 알루미늄 나이트라이드(AlN)와 반응한다. 그 반응들은 알루미늄 플루오라이드(AlFx)를 형성하며, 알루미늄 플루오라이드(AlFx)는 기판들 상에서 입자 생성 및 프로세스 드리프트들을 야기한다. 기판들 상의 AlFx는 챔버 내의 샤워헤드 또는 벽으로의 승화로 인해 기판들을 결함이 있게 만들고 기판의 세정 효과를 떨어뜨린다. 따라서, AlFx 오염 및 플레이킹(flaking)은 일반적으로 바람직하지 않다.
[0005] 따라서, 기판들 상에 두꺼운 텅스텐계 하드마스크들을 형성하고 그리고 챔버 컴포넌트들을 오염시키는 AlFx로부터의 결함들을 방지하기 위한 개선된 방법들 및 장치가 필요하다.
[0006] 본 개시내용의 실시예들은 일반적으로, 기판들 및 챔버 컴포넌트들 상에 금속 실리사이드 층들(예컨대, 텅스텐 실리사이드)을 증착하기 위한 방법들 및 장치에 관한 것이다. 일 실시예에서, 금속 실리사이드 층을 기판 상에 증착함으로써 하드마스크를 형성하는 방법이 개시된다. 방법은, 타겟 층을 갖는 기판을 프로세싱 챔버 내에 포지셔닝하는 단계, 금속 실리사이드의 시드 층을 타겟 층 상에 형성하는 단계 및 텅스텐계 벌크 층을 시드 층 상에 증착하는 단계를 포함하며, 금속 실리사이드 층 및 텅스텐계 벌크 층은 하드마스크를 형성한다.
[0007] 다른 실시예에서, 금속 실리사이드 층을 챔버 컴포넌트들 상에 증착함으로써 플라즈마 프로세싱 챔버의 컴포넌트들을 컨디셔닝(conditioning)하는 방법이 개시된다. 방법은, 불활성 가스를 가스 애플리케이터(gas applicator)로부터 플라즈마 프로세싱 챔버 내로 유동시키는 단계, 플라즈마 프로세싱 챔버 내의 플라즈마에 기판 지지부를 노출시키는 단계 및 금속 실리사이드의 시즈닝 층을 기판 지지부의 알루미늄계 표면 상에 형성하는 단계를 포함한다. 불활성 가스는 아르곤 또는 헬륨을 포함한다.
[0008] 또 다른 실시예에서, 프로세싱 챔버가 개시된다. 프로세싱 챔버는, 내부에 챔버 볼륨이 정의된 챔버 바디, 챔버 볼륨 내에 배치된 기판 지지부, 챔버 바디에 커플링된 덮개, 및 덮개를 관통해 챔버 볼륨에 유동적으로 연결된 가스 애플리케이터를 포함한다. 가스 애플리케이터는 관형 바디, 관형 바디를 통해 형성되고 그리고 챔버 볼륨에 유동적으로 커플링된 통로, 관형 바디를 통해 형성된 제1 크로스-홀 및 제2 크로스-홀을 포함한다. 제1 크로스-홀은 제1 가스를 통로 내로 전달하도록 구성된다. 제2 크로스-홀은 관형 바디의 중심선에 대해 제1 크로스-홀 아래에서 축방향으로 이격되고, 제2 가스를 통로 내로 전달하도록 구성된다.
[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 본원에서 설명되는 실시예들을 실시하는 데 사용될 수 있는 플라즈마 강화 화학 기상 증착(PECVD; plasma enhanced chemical vapor deposition) 챔버의 간략화된 전면 단면도를 도시한다.
[0011] 도 2는 본 개시내용의 일 실시예에 따른, 도 1의 챔버의 가스 애플리케이터의 부분 단면도를 도시한다.
[0012] 도 3은 본 개시내용의 일 실시예에 따른, 도 1의 챔버 내에 배치된 기판 상에 하드마스크를 형성하기 위한 방법의 블록도이다.
[0013] 도 4a-도 4g는 본 개시내용의 일 실시예에 따른, 하드마스크를 사용하여 기판 상에 타겟 층을 패터닝하는 다양한 스테이지들을 도시한다.
[0014] 도 5는 본 개시내용의 일 실시예에 따른, 플라즈마 프로세싱 챔버의 컴포넌트들을 컨디셔닝하기 위한 방법의 블록도이다.
[0015] 도 6a-도 6b는 본 개시내용의 일 실시예에 따른, 플라즈마 프로세싱 챔버의 컴포넌트들을 컨디셔닝하는 2개의 스테이지들을 도시한다.
[0016] 이해를 용이하게 하기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가의 언급없이 다른 실시예들에 유익하게 통합될 수 있음이 고려된다.
[0017] 본 개시내용의 실시예들은 일반적으로, 기판들 및 챔버 컴포넌트들 상에 금속 실리사이드 층들을 증착하기 위한 방법들 및 장치에 관한 것이다.
[0018] 본원에서 설명되는 실시예들은, 임의의 적절한 박막 증착 시스템을 사용하여 수행될 수 있는 PECVD 프로세스를 참조하여 아래에서 설명될 것이다. 적절한 시스템들의 예들은, DXZ® 프로세싱 챔버를 사용할 수 있는 CENTURA® 시스템들, PRODUCER® 시스템들, PRODUCER® GT 시스템들, PRODUCER® XP Precision™ 시스템들 및 PRODUCER® SE™ 시스템들을 포함하며, 이들은 캘리포니아 산타클라라의 Applied Materials, Inc.로부터 상업적으로 입수가능하다. PECVD 프로세스들을 수행할 수 있는 다른 툴들은 또한, 본원에서 설명되는 실시예들로부터 이익을 얻도록 적응될 수 있다. 본원에서 설명되는 장치는 예시적인 것이며, 본원에서 설명되는 실시예들의 범위를 제한하는 것으로 이해되거나 해석되어서는 안된다.
[0019] 원자 층 증착(ALD; atomic layer deposition)을 통해 증착된 시드 층들(예컨대, TiN, WSi, WB, WN 막들)은 실리콘 옥사이드 및 실리콘 나이트라이드에 대한 우수한 접착력을 보였지만, 두꺼운 텅스텐계 막들을 기판들에 충분히 접착시키지는 않는다. 본원에서 설명되는 실시예들은 기판들에 양호하게 접착되는 두꺼운 텅스텐계 막들의 형성을 가능하게 한다. 종래의 ALD 막들과 비교하여, 본원에서 설명되는 방법들 및 장치는 단위 두께 당 더 많은 플루오린 트랩들을 도입하며, 이는 기판들에 대한 텅스텐계 막들의 접착력을 향상시키는 얇은 시드 층들의 증착을 가능하게 한다. 방법들은 교번적인 가스 유동 펄스들을 사용하여 막의 그레인 사이즈 및 거칠기를 감소시키고 막 접착력을 개선한다. 하나 이상의 가스들(예컨대, H2, He, B2H6, 하이드로카본들, N2, N2O, NO2, N2O4, NH3, NF3, SiH4, Si2H6, CH4, C2H2, C3H6, C4H8, C5H10, O2, O3, H2O, Ar, WF6, WCl6, W(CO)6, 및 텅스텐의 유기금속성 화합물들)의 가스 유동은 교번될 수 있다. 각각의 가스 유동 펄스에 대한 시간 구간들은 예컨대 0.1 내지 100 초의 범위에서 동일하거나 상이할 수 있다. 각각의 가스 유동 펄스의 지속기간은 다수의 펄스 사이클들에 걸쳐 점진적으로 감소하거나 또는 다수의 펄스 사이클들에 걸쳐 점진적으로 증가할 수 있다. 증착 프로세스 동안의 상이한 가스들의 교번적인 가스 유동 펄스들은 등방성 성장을 가능하게 하고 플루오린을 트래핑(trap)하는 결함들을 생성하는 결정화를 방해한다. 계면들에서의 그리고 증착된 막들 내에서의 더 작은 그레인 사이즈 및 더 양호한 화학적 본딩으로 인해, 증착된 막들의 접착력 및 모폴로지가 개선된다.
[0020] 본원에서 개시된 방법들 및 장치는, 챔버 컴포넌트들 상의 시즈닝 층의 예들로서의 텅스텐 실리사이드 층 및 기판들 상의 텅스텐계 벌크 층들의 증착을 위한 시드 층의 형성을 설명한다. 그러나, 본원에서 개시된 방법들 및 장치는, 다른 금속 실리사이드 층들, 이를테면, 몰리브덴 실리사이드, 티타늄 실리사이드 등(그러나 이에 제한되지 않음)의 형성에 동일하게 적용가능하다는 것이 주목되어야 한다.
[0021] 도 1은 개시된 기술이 실시될 수 있는 PECVD 챔버(100)의 간략화된 전면 단면도의 일 예를 도시한다. 챔버(100)는 일반적으로, 챔버 덮개(104)를 지지하는 챔버 바디(102)를 포함한다. 챔버 덮개(104)는 힌지(도시되지 않음)에 의해 챔버 바디(102)에 부착된다. 챔버 바디(102)는 측벽들(112) 및 최하부 벽(116)을 포함하며, 이들은 챔버 덮개(104)와 함께 내부 챔버 볼륨(120)의 경계를 정한다. 챔버 덮개(104)는, 반응물 및/또는 세정 가스들을 챔버 볼륨(120) 내로 전달하기 위한 가스 분배 시스템(108)과 인터페이싱된다. 원주형 펌핑 채널(125)이 측벽들(112)에 형성되고, 펌핑 시스템(164)에 커플링된다. 펌핑 시스템(164)은, 챔버 볼륨(120)으로부터 가스들을 배기시키고 그리고 챔버 볼륨(120) 내의 압력을 제어하도록 구성된다. 기판 지지부(128)는 챔버 볼륨(120) 내에 배치된다. 기판 지지부(128)는 최하부 벽(116)을 관통해 형성된 통로(122)를 통과하는 스템(126)을 갖는다. 기판 리프트 핀들(161)을 작동시키도록 구성된 로드(rod)(130)는 최하부 벽(116)을 관통해 형성된 다른 통로(124)를 통과한다. 로드(130)는 액추에이터(107)에 커플링된다.
[0022] 기판 지지부(128)는 챔버 볼륨(120) 내에 배치되고, 프로세싱 동안 기판(121)을 지지하고 홀딩하도록 구성된다. 챔버 볼륨(120) 내에서 기판 지지부(128)를 하강 또는 상승시키기 위해, 구동 시스템(103)이 기판 지지부(128)에 커플링된다. 챔버 볼륨(120) 내에서 기판 지지부(128)를 하강 또는 상승시키기 위해, 구동 시스템(103)이 기판 지지부(128)에 커플링된다.
[0023] 일 실시예에서, 기판 지지부(128)는 정전 척이다. 기판 지지부(128)는, 기판(121)을 기판 지지부(128) 상에 정전기적으로 고정시키기 위해 전압이 인가되는 적어도 하나의 척킹 전극(123)을 포함한다. 전극(123)은, 저역 통과 필터(177)를 통해 전극(123)에 연결된 직류(DC) 전력 공급부(176)에 의해 전력을 공급받는다. 기판 지지부(128)의 전극(123)은 단극형, 양극형, 삼극형, 깍지형(interdigitated), 구역형(zonal) 등일 수 있다. 다른 실시예에서, 기판 지지부(128)는 정전 척을 포함하지 않는다.
[0024] 일부 실시예들에서, 기판 지지부(128)는, 기판 지지부(128) 상에 포지셔닝된 기판(121)을 원하는 프로세스 온도까지 가열하기 위해, 하나 이상의 가열 엘리먼트들(605)(도 6a-도 6b에 도시됨), 이를테면, 저항성 엘리먼트들을 포함한다. 대안적으로, 기판 지지부(128)는 외부 가열 엘리먼트, 이를테면, 램프 어셈블리에 의해 가열된다. 가열 엘리먼트들(605)은 또한, 기판 지지부(128)가 정전 척으로서 구성되는 실시예들에서 사용될 수 있다.
[0025] 원격 플라즈마 소스(162)는 가스 분배 시스템(108)의 가스 애플리케이터(140)를 통해 챔버 볼륨(120)에 유동적으로 연결되고, 프로세싱 동안에 이온화된 라디칼들을 생성하도록 동작된다. 이온화된 라디칼들은 가스 유입 통로(167)를 통해 원격 플라즈마 소스(162)로부터 가스 분배 시스템(108) 내로 유동한다. 원격 플라즈마 소스(162)는 가스 소스(169)에 연결되며, 가스 소스(169)는 가스, 이를테면, 세정 가스를 원격 플라즈마 소스(162) 내로 공급한다.
[0026] 적어도 2개의 별개의 가스 소스들(163, 168)이 가스 분배 시스템(108)에 커플링된다. 가스 소스들(163, 168)은 가스 애플리케이터(140)를 통해 챔버 볼륨(120) 내로 전달될 수 있는 상이한 가스들을 제공한다.
[0027] RF 전력 소스(165)는 임피던스 매칭 회로(173)를 통해 덮개(104) 아래의 샤워헤드 어셈블리(142)에 커플링된다. 샤워헤드 어셈블리(142)는, 환형 베이스 플레이트(148)와 페이스플레이트(146) 사이에 블로커 플레이트(144)가 배치되어 있는 것으로 구성된다. 커패시터(178)와 같은 고역 통과 필터를 통해 접지되는 전극(123) 및 샤워헤드 어셈블리(142)의 페이스플레이트(146)는 용량성 플라즈마 생성기를 형성한다. RF 전력 소스(165)는, 샤워헤드 어셈블리(142)의 페이스플레이트(146)와 기판 지지부(128) 사이의 용량성 플라즈마의 발생을 가능하게 하기 위해 샤워헤드 어셈블리(142)에 RF 에너지를 제공한다. 따라서, 전극(123)은, 기판 지지부(128)에 대한 기판(121)의 정전 클램핑을 가능하게 하기 위해 DC 전력 공급부(176)로부터의 전기적 바이어스 및 RF 전력 소스(165)에 대한 접지 경로 둘 모두를 제공한다.
[0028] RF 전력 소스(165)는 고주파 라디오 주파수(HFRF; high frequency radio frequency) 전력 소스, 예컨대 13.56 MHz RF 생성기, 및/또는 저주파 라디오 주파수(LFRF; low frequency radio frequency) 전력 소스, 예컨대 300 kHz RF 생성기를 포함한다. LFRF 전력 소스는 저주파 생성 및 고정 매칭 엘리먼트들 둘 모두를 제공한다. HFRF 전력 소스는 고정 매칭과 함께 사용하기 위해 설계되며, 부하(load)에 전달되는 전력을 조절하여, 순방향 및 반사 전력에 관한 우려들을 제거한다.
[0029] 부식성 프로세싱 환경으로부터 측벽들(112)을 보호하기 위해 세라믹 또는 다른 적합한 재료로 제조된 챔버 라이너(127)가 챔버 볼륨(120)에 배치된다. 챔버 라이너(127)는 측벽들(112)에 형성된 레지(129)에 의해 지지된다. 복수의 배기 포트들(131)이 챔버 라이너(127) 상에 형성된다. 배기 포트들(131)은 챔버 볼륨(120)을 펌핑 채널(125)에 유동적으로 연결하도록 구성된다.
[0030] 도 2는 반응물 및 세정 가스들을 챔버 볼륨(120) 내로 전달하기 위한, 챔버 덮개(104)를 관통해 배치된 가스 분배 시스템(108)의 부분 단면도를 도시한다. 가스 분배 시스템(108)은 덮개(210), 출력 매니폴드(220), 절연체(230) 및 가스 애플리케이터(140)를 포함한다.
[0031] 출력 매니폴드(220) 및 절연체(230)는 하나가 다른 하나의 최상부 상에 놓이는 식으로 스택되고, 그들을 관통하는 통로(239)를 갖는다. 가스 애플리케이터(140)는, 가스 애플리케이터(140)의 길이방향 축이 통로(239)의 길이방향 축과 동일 선상(co-linear)에 정렬되도록, 통로(239) 내에 배치된다. 통로(239)의 최상부 단부는 가스 유입 통로(167)에 연결된다. 도 1에 도시된 바와 같이, 통로(239)의 최하부 단부는 챔버 볼륨(120)에 연결되고, 샤워헤드 어셈블리(142)와 대면한다. 출력 매니폴드(220)는 최상부 표면(221) 및 최하부 표면(229)을 갖는다. 최상부 표면(221)은 덮개(210)에 커플링된다. 최하부 표면(229)은 절연체(230)의 최상부 표면(231)에 커플링된다. 출력 매니폴드(220)는 제1 도관(222) 및 제2 도관(226)을 가지며, 제1 도관(222) 및 제2 도관(226)은 별개의 가스 소스들(163, 168)에 커플링된다. 덮개(210)는 최상부 단부에서 출력 매니폴드(220) 및 가스 애플리케이터(140)를 커버한다. 덮개(210)는 통로(239) 내에 가스 애플리케이터(140)를 유지하기 위해 통로(239)의 일부를 커버할 수 있다.
[0032] 절연체(230)는 최상부 표면(231) 및 최하부 표면(237)을 갖는다. 최상부 표면(231)은 출력 매니폴드(220)의 최하부 표면(229)에 커플링된다. 최하부 표면(237)은 베이스 플레이트(148)에 커플링된다. 절연체(230)는 통로(239)의 일부를 정의하는 내측 벽(235)을 갖는다. 내측 벽(235)은 가스 애플리케이터(140)를 통로(239) 내에 수용하도록 구성된다. 절연체(230)는 가스 애플리케이터(140)에 열 절연 및 전기 절연을 제공하도록 구성된다.
[0033] 가스 애플리케이터(140)는 통로(239) 내에 배치된다. 가스 애플리케이터(140)는 가스를 챔버 볼륨(120) 내로 전달하도록 구성된 중앙 통로(255)를 갖는다. 일 실시예에서, 가스 애플리케이터(140)는 원통형-형상이지만, 다른 실시예들에서, 가스 애플리케이터(140)는 상이한 형상들의 다른 단면을 가질 수 있다. 가스 애플리케이터(140)는, 중앙 통로(255)가 챔버 볼륨(120)에 유동적으로 연결되는 것을 가능하게 하도록 베이스 플레이트(148)를 통과한다. 가스 애플리케이터(140)는 외측 벽(240) 및 내측 벽(250)을 갖는다. 내측 벽(250)과 외측 벽(240)은 가스 애플리케이터(140)의 최상부 표면(241)에서 만난다. 내측 벽(250)과 외측 벽(240)은 또한, 가스 애플리케이터(140)의 최하부 표면(249)에서 만난다. 내측 벽(250)은 가스 애플리케이터(140)를 통과하는 중앙 통로(255)의 범위를 정한다. 내측 벽(250)은 중앙 부분(246), 제1 테이퍼형 부분(247) 및 제2 테이퍼형 부분(248)을 갖는다. 내측 벽(250)은 제1 테이퍼형 부분(247)을 통해 중앙 통로(255)의 최상부 단부에서 가스 유입구 매니폴드(167)에 연결된다. 내측 벽(250)은 제2 테이퍼형 부분(248)을 통해 중앙 통로(255)의 최하부 단부에서 베이스 플레이트(148)에 연결된다.
[0034] 외측 벽(240)은 출력 매니폴드(220)를 관통해 이어지는 통로(239)의 일부를 정의하고, 절연체(230)는 출력 매니폴드(220) 아래에 배치된다. 외측 벽(240)은 제1 부분(242), 제2 부분(243), 제3 부분(245), 및 제4 부분(244)을 갖는다. 제1 부분(242)은 절연체(230)의 내측 벽(235)을 포함한다. 제2 부분(243)은 출력 매니폴드(220)에 형성되고, 제1 부분(242)에 연결된다. 제3 부분(245)은 제2 부분(243)에 연결된다. 제4 부분(244)은 제3 부분(245)에 연결된다. 제3 부분(245)은 외측으로 연장되고, 제1 부분(242)의 직경보다 더 큰 직경을 갖는다. 제2 부분(243) 및 제4 부분(244)은 제1 부분(242)보다 더 작은 직경들을 갖는다. 제2 부분(243) 및 제4 부분(244)은 출력 매니폴드(220)의 계단형 홀과 인터페이싱한다. 계단형 홀은 계단(225)에 의해 제2 내측 벽(227)에 연결되는 제1 내측 벽(223)을 포함한다. 제3 부분(245)에 의해 윤곽이 드러난 플랜지(290)가 계단(225) 상에 놓인다. 밀봉부(280), 이를테면, O-링(그러나 이에 제한되지 않음)은 플랜지(290) 주위의 가스 누설을 방지하기 위해 가스 애플리케이터(140)와 출력 매니폴드(220) 사이에 밀봉을 제공한다. 따라서, 하부 플레넘(228)은 출력 매니폴드(220)의 내측 벽(227)과 제2 부분(243) 사이에서 플랜지(290) 아래에 형성된다. 유사하게, 상부 플레넘(224)은 출력 매니폴드(220)의 내측 벽(223)과 제4 부분(244) 사이에서 플랜지(290) 위에 형성된다. 상부 플레넘(224)은 도관(222)에 의해 가스 소스(163)에 유동적으로 연결된다. 하부 플레넘(228)은 도관(226)에 의해 가스 소스(168)에 유동적으로 연결된다.
[0035] 가스 애플리케이터(140)는, 상부 플레넘(224)을 중앙 통로(255)와 유동적으로 연결하도록 구성된 제1 크로스-홀(260)을 갖는다. 가스 애플리케이터(140)는 선택적으로, 임의의 적합한 수의 제1 크로스-홀들(260)을 가질 수 있다. 제1 크로스-홀(260)은, 제1 크로스-홀(260)이 유체를 효과적으로 중앙 통로(255) 내로 전달할 수 있도록, 원형, 정사각형 또는 다른 적합한 기하학적 구조의 단면 형상을 가질 수 있다. 추가적으로, 제1 크로스-홀(260)은 반경방향 내향 및 하향 각도(radially inward and downward angle)로 (최하부 표면(249)을 향해) 형성될 수 있다. 제1 크로스-홀(260)의 내향 및 하향 각도는, 제1 크로스-홀(260)에서 나가서 중앙 통로(255) 내로의 유체 유동에, 챔버 볼륨(120)을 향하는 그리고 RPS(162)로부터 멀어지는 방향으로 운동량(momentum)을 제공한다. 따라서, 제1 크로스-홀(260)의 각도는 원격 플라즈마 소스(162)에 연결된 가스 유입 통로(167) 내로의 가스들의 역류를 방지하여서, 잠재적인 원하지 않는 침착들 및 오염을 감소시킨다. 가스 애플리케이터(140)는, 하부 플레넘(228)을 제1 크로스-홀(260) 아래에서 중앙 통로(255)와 유동적으로 연결하도록 구성된 제2 크로스-홀(270)을 갖는다. 가스 애플리케이터(140)는 선택적으로, 임의의 적합한 수의 제2 크로스-홀들(270)을 가질 수 있다. 제2 크로스-홀(270)은, 제2 크로스-홀(270)이 유체를 중앙 통로(255) 내로 전달할 수 있도록, 원형, 정사각형 또는 다른 기하학적 구조의 단면 형상을 가질 수 있다.
[0036] 도 1을 다시 참조하면, 동작 동안 베이스 플레이트(148)를 냉각시키기 위해 가스 분배 시스템(108)의 베이스 플레이트(148)에 냉각 채널(147)이 커플링된다. 냉각 유입구(145)는 냉각제 유체, 이를테면, 물 등을 냉각 채널(147) 내로 전달한다. 냉각제 유체는 냉각제 배출구(149)를 통해 냉각 채널(147)에서 나간다.
[0037] 도 1에 도시된 바와 같이, PECVD 시스템(100)은 시스템 제어기(175)를 더 포함하며, 시스템 제어기(175)는 원격 플라즈마 소스(162), RF 전력 소스(165) 및 DC 전력 공급부(176)의 동작을 제어한다. 시스템 제어기(175)는 또한, 펌핑 시스템(164), 구동 시스템(103), 액추에이터(107), 및 가스 소스들(163, 168 및 169)의 동작을 제어한다. 시스템 제어기(175)는 중앙 프로세싱 유닛(CPU), 메모리, 및 지원 회로를 포함한다. CPU는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들은 메모리, 이를테면, 랜덤 액세스 메모리, 판독 전용 메모리, 플로피, 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장소에 저장될 수 있다. 지원 회로는 통상적으로 CPU에 커플링되며, 캐시, 클록 회로들, 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다.
[0038] 가스 애플리케이터(140)를 포함하는 챔버(100)는, 챔버(100)의 챔버 볼륨(120) 내에 금속 실리사이드 층들을 형성하는 데 유리하게 사용된다. 일 실시예에서, 텅스텐 실리사이드의 시즈닝 층이 챔버(100)의 컴포넌트, 이를테면, 기판 지지부(128)(그러나 이에 제한되지 않음) 상에 형성된다. 동작 시에, 기판 지지부(128) 내의 전극(123)은 DC 전력 공급부(176)에 의해 전력을 공급받는다. 기판 지지부(128) 내의 가열 엘리먼트(605)(도 6a-도 6b에 도시됨)는 기판(121)의 온도를 제어하기 위해 턴 온 된다. 불활성 가스, 이를테면, 아르곤은 도관(222) 및 가스 애플리케이터(140)의 중앙 통로(255)를 통해 챔버 볼륨(120) 내로 공급된다. 대안적으로 또는 추가적으로, 불활성 가스, 이를테면, 헬륨은 도관(226) 및 가스 애플리케이터(140)의 중앙 통로(255)를 통해 챔버 볼륨(120) 내로 공급될 수 있다. 불활성 가스들은 지속적으로 챔버 볼륨(120)에 공급되고, 펌핑 시스템(164)에 의해 챔버 볼륨(120)으로부터 제거된다. 플라즈마(도시되지 않음)는, RF 전력 소스(165)를 파워 온 함으로써 챔버 볼륨(120)에서 생성된다. 기판 지지부(128)를 포함한 챔버(100)의 컴포넌트들은 플라즈마에 노출된다.
[0039] 일 예에서, 텅스텐 헥사플루오라이드는 미리 결정된 시간 구간 동안 가스 애플리케이터(140)를 통해 챔버 볼륨(120) 내로 유동된다. 후속적으로, 텅스텐 헥사플루오라이드는, 챔버 볼륨(120) 내로의 불활성 퍼지 가스의 도입과 함께 또는 불활성 퍼지 가스의 도입 없이, 펌핑 시스템(164)에 의해 챔버 볼륨(120)으로부터 제거된다. 그런 다음, 실란은 미리 결정된 시간 구간 동안 가스 애플리케이터(140)를 통해 챔버 볼륨(120) 내로 유동된다. 후속적으로, 실란은, 챔버 볼륨(120) 내로의 불활성 퍼지 가스의 도입과 함께 또는 불활성 퍼지 가스의 도입 없이, 펌핑 시스템(164)에 의해 챔버 볼륨(120)으로부터 제거된다. 텅스텐 헥사플루오라이드 및 실란의 도입 및 제거의 시퀀스는, 텅스텐 실리사이드의 균일한 층이 기판 지지부(128) 및 챔버의 다른 컴포넌트들 상에 증착될 때까지, 한번 이상 반복된다.
[0040] 다른 실시예에서, 텅스텐 실리사이드의 시드 층은 기판 지지부(128) 상에 배치된 기판(121) 상에 형성된다. 기판(121)은 기판(121) 상에 배치된 타겟 층을 갖는다. 타겟 층은 기판(121) 상에 형성되는 집적 회로 디바이스의 일부를 형성하기 위해 나중에 에칭될 재료 층이다. 일부 실시예들에서, 타겟 층은, 타겟 층이 기판 지지부(128) 상에 배치되기 전에, 실란에 노출된다. 기판 지지부(128) 내의 전극(123)은 DC 전력 공급부(176)에 의해 전력을 공급받는다. 가열 엘리먼트(605)는 프로세싱 동안 기판 지지부(128)를 가열한다. 불활성 가스, 이를테면, 아르곤은 도관(222) 및 가스 애플리케이터(140)의 중앙 통로(255)를 통해 챔버 볼륨(120) 내로 공급된다. 대안적으로 또는 추가적으로, 불활성 가스, 이를테면, 헬륨은 도관(226) 및 가스 애플리케이터(140)의 중앙 통로(255)를 통해 챔버 볼륨(120) 내로 공급된다. 불활성 가스들은 지속적으로 챔버 볼륨(120)에 공급되고, 펌핑 시스템(164)에 의해 챔버 볼륨(120)으로부터 제거된다. 선택적으로, 플라즈마(도시되지 않음)는, RF 전력 소스(165)를 파워 온 함으로써 챔버 볼륨(120)에서 생성된다. 그 경우, 타겟 층을 갖는 기판(121)은 플라즈마에 노출된다.
[0041] 일 예에서, 텅스텐 헥사플루오라이드는 미리 결정된 시간 구간 동안 가스 애플리케이터(140)를 통해 챔버 볼륨(120) 내로 유동된다. 플라즈마가 사용되는 경우, 텅스텐 헥사플루오라이드는 아르곤과 혼합된다. 후속적으로, 텅스텐 헥사플루오라이드 및 아르곤(아르곤이 사용되는 경우)은, 불활성 퍼지 가스의 도입과 함께 또는 불활성 퍼지 가스의 도입 없이, 펌핑 시스템(164)에 의해 챔버 볼륨(120)으로부터 제거된다. 그런 다음, 실란은 다른 미리 결정된 시간 구간 동안 가스 애플리케이터(140)를 통해 챔버 볼륨(120) 내로 유동된다. 플라즈마가 사용되는 경우, 실란은 헬륨과 혼합된다. 후속적으로, 실란 및 헬륨(헬륨이 사용되는 경우)은, 불활성 퍼지 가스의 도입과 함께 또는 불활성 퍼지 가스의 도입 없이, 펌핑 시스템(164)에 의해 챔버 볼륨(120)으로부터 제거된다. 텅스텐 헥사플루오라이드 및 실란의 도입 및 제거의 시퀀스는, 텅스텐 실리사이드의 균일한 층이 시드 층으로서 타겟 층 위에 증착될 때까지, 한번 이상 반복된다. 플라즈마는 텅스텐 실리사이드 층의 형성을 향상시킨다. 시드 층은, 실란으로부터의 더 높은 비율(51-99%)의 실리콘과 텅스텐 헥사플루오라이드로부터의 더 낮은 비율(1-49%)의 텅스텐을 반응시킴으로써 실리콘 함량이 풍부해진다. 그런 다음, 텅스텐계 벌크 층이 시드 층 상에 증착되어 하드마스크를 형성한다. 텅스텐계 벌크 층은 선택적으로, 보론, 카본, 니트로겐 및/또는 실리콘으로 도핑될 수 있다. 하드마스크는 기판(121) 상에 패턴을 전개하는 데 사용된다.
[0042] 도 3은 본 개시내용의 일 실시예에 따른, 기판 상에 하드마스크를 형성하는 방법(300)의 블록도이다. 방법(300)은 블록(310)에서, 타겟 층을 갖는 기판을 프로세싱 챔버 내에 포지셔닝함으로써 시작된다. 타겟 층은, 실리콘 옥사이드, 실리콘 나이트라이드, 비정질 실리콘, 폴리실리콘, 또는 후속적인 에칭 프로세스의 타겟이 될 다른 유전체 층일 수 있다. 일부 실시예들에서, 기판은 기판 지지부 상에, 이를테면, 챔버 내에 배치된 정전 척에(그러나 이에 제한되지 않음) 포지셔닝된다. 일부 다른 실시예들에서, 기판은 플라즈마 프로세싱 챔버 내에 포지셔닝된다. 그러한 실시예들에서, 아르곤 또는 헬륨을 포함하는 불활성 가스는 가스 애플리케이터를 통해 플라즈마 프로세싱 챔버의 챔버 볼륨 내로 유동된다. 후속적으로, 플라즈마는 프로세싱 챔버 내에서 에너자이징된다(energized). 도 4a에 도시된 바와 같이, 타겟 층(410)을 갖는 기판(400)이 프로세싱 챔버, 이를테면, 위에서 설명된 프로세싱 챔버(100) 내부에 배치된다.
[0043] 블록(320)에서, 시드 층이 타겟 층 상에 형성된다. 시드 층은 금속 실리사이드, 이를테면, 텅스텐 실리사이드, 티타늄 실리사이드, 또는 몰리브덴 실리사이드(그러나 이에 제한되지 않음)를 포함한다. 본 개시내용에서, 텅스텐 실리사이드가 일 예로서 사용된다. 일부 실시예들에서, 시드 층을 형성하기 전에 타겟 층이 실란에 노출된다. 추가적으로, 일부 실시예들에서, 플라즈마의 존재 하에서, 텅스텐 실리사이드를 포함하는 시드 층이 타겟 층 상에 형성된다. 텅스텐 실리사이드 층의 형성은 몇몇 동작들을 수반한다. 제1 동작에서, 제1 시간 구간 동안 텅스텐 헥사플루오라이드는 가스 애플리케이터로부터 프로세싱 챔버의 챔버 볼륨 내로 유동된다. 플라즈마가 사용되는 실시예들에서, 텅스텐 헥사플루오라이드는 아르곤과 혼합된다. 제2 동작에서, 제2 시간 구간 동안 텅스텐 헥사플루오라이드 및 아르곤(아르곤이 사용되는 경우)은, 불활성 퍼지 가스의 도입과 함께 또는 불활성 퍼지 가스의 도입 없이, 프로세싱 챔버로부터 제거된다. 제3 동작에서, 제3 시간 구간 동안 실란은 가스 애플리케이터로부터 프로세싱 챔버의 챔버 볼륨 내로 유동된다. 플라즈마가 사용되는 실시예들에서, 실란은 헬륨과 혼합된다. 그런 다음, 제4 동작에서, 제4 시간 구간 동안 실란 및 헬륨(헬륨이 사용되는 경우)은, 불활성 퍼지 가스의 도입과 함께 또는 불활성 퍼지 가스의 도입 없이, 프로세싱 챔버로부터 제거된다.
[0044] 제1, 제2, 제3 및 제4 시간 구간들은 대략 0.1 초 내지 대략 100 초의 지속기간을 가질 수 있다. 결합되면, 제1, 제2, 제3 및 제4 시간 구간들은 시간 사이클을 정의한다. 일부 실시예들에서, 제1 시간 구간과 제3 시간 구간은 동일하거나, 상이하거나, 또는 각각의 시간 사이클마다 지속기간이 변화된다. 제1, 제2, 제3 및 제4 동작들을 포함하는 시간 사이클은, 텅스텐 실리사이드의 층이 기판의 타겟 층 상에 원하는 두께까지 증착될 때까지 복수 회 반복된다. 도 4b는, 텅스텐 실리사이드를 포함하는 시드 층(420)이 타겟 층(410) 상에 어떻게 형성되는지를 도시한다. 텅스텐 실리사이드를 포함하는 시드 층의 두께는 대략 1 nm 내지 대략 100 nm이다. 일부 실시예들에서, 형성된 금속 실리사이드 시드 층은, 실온에서 대략 1.8 g/cc 내지 대략 15 g/cc의 범위의 시드 층의 밀도로 실리콘 함량이 풍부하다. 텅스텐 실리사이드 시드 층의 밀도는 (실온에서의 텅스텐에 대한 대략 19.25 g/cc 및 비정질 실리콘에 대한 대략 2.3 g/cc와 비교하여) 실온에서 대략 4.5 g/cc 내지 대략 10.0 g/cc이다. 텅스텐의 밀도보다는 실리콘의 밀도를 향하는 텅스텐 실리사이드 밀도의 스큐(skew)는, 통상적으로 증착된 텅스텐 실리사이드와 비교하여, 텅스텐 실리사이드 시드 층에서 텅스텐보다 실리콘의 농도가 더 높음을 나타낸다.
[0045] 블록(330)에서, 텅스텐계 벌크 층이 시드 층 상에 증착된다. 텅스텐 실리사이드로 제조된 시드 층 및 텅스텐계 벌크 층은 함께 하드마스크를 형성하며, 하드마스크는 나중에 패터닝되어 타겟 층을 에칭하는 데 사용될 수 있다. 도 4c에 도시된 바와 같이, 텅스텐계 벌크 층(430)이 시드 층(420) 상에 증착된다. 시드 층(420)과 텅스텐계 벌크 층(430)은 함께 하드마스크(440)를 형성한다. 텅스텐계 벌크 층(430)은 텅스텐, 텅스텐 카바이드, 텅스텐 나이트라이드, 텅스텐 카보나이트라이드, 텅스텐 보론 카바이드, 및 텅스텐 보론 나이트라이드 중 하나 이상으로 제조될 수 있다. 텅스텐계 벌크 층(430)의 두께는 대략 10 nm 내지 대략 5 미크론(5000 nm)이다.
[0046] 위에서 설명된 방법에 의해 형성된 하드마스크는 기판 상에 배치된 타겟 층에 패턴을 전사하는 데 사용되며, 이는 도 4d-도 4g에 도시된 바와 같은 몇몇 동작들을 수반한다. 도 4d에서, 포토-레지스트 층(450)은 벌크 층(430) 상에 배치되는 바, 즉, 하드마스크(440) 상에 배치되고 하드마스크(440) 위에서 패터닝된다. 도 4e에서, 하드마스크(440)의 부분들은, 패터닝된 포토-레지스트 층(450)을 관통해 형성된 개구들을 통해 에칭하고 패턴을 타겟 층(410)에 전사함으로써 제거된다. 하드마스크(440)는 이제, 패터닝된 시드 층(420') 및 패터닝된 벌크 층(430')을 포함하는 패터닝된 하드마스크(440')로서 정의된다. 도 4f에서, 타겟 층(410)은 패터닝된 하드마스크(440')를 통해 에칭되어, 패터닝된 타겟 층(410')을 초래한다. 마지막으로 도 4g에서, 패터닝된 하드마스크(440')는 예컨대 애싱(ashing)에 의해 제거되어, 패터닝된 타겟 층(410')을 완전히 노출시킨다.
[0047] 도 5는 플라즈마 프로세싱 챔버의 컴포넌트들, 이를테면, 위에서 설명된 프로세싱 챔버(100) 또는 다른 적합한 프로세싱 챔버의 기판 지지부(128)를 컨디셔닝하는 방법(500)의 블록도이다. 방법(500)은 블록(510)에서, 불활성 가스를 가스 애플리케이터로부터, 기판 지지부(128)가 내부에 배치된 플라즈마 프로세싱 챔버 내로 유동시킴으로써 시작된다. 불활성 가스는 헬륨 또는 아르곤일 수 있다. 추가적으로 도 6a를 참조하면, 기판 지지부(128)는 바디(600)를 갖는다. 바디(600)는, 상부에 기판(121)(도 1에 도시됨)을 지지하기 위한 최상부 표면(601)을 갖는다. 바디(600)는 바디(600) 내에 임베딩된 가열 엘리먼트(605)(도 6a-도 6b에 도시됨)를 갖는다.
[0048] 블록(520)에서, 프로세싱 챔버 내에 배치된 기판 지지부(128)는 플라즈마 프로세싱 챔버 내에서 플라즈마에 노출된다. 도 6a에 도시된 바와 같이, 기판 지지부(128)는 플라즈마에 노출될 때, 상부에 어떤 기판도 배치되어 있지 않다.
[0049] 블록(530)에서, 도 6b에 예시된 바와 같이, 기판 지지부(128)의 알루미늄계 표면 상에 시즈닝 층(610)이 형성된다. 도 6b에 도시된 바와 같이, 시즈닝 층은 기판 지지부(128) 아래에 그리고 측면들에 증착된다. 기판 지지부(128)는 시즈닝 층(610)에 의해 컨디셔닝되는 챔버(100)의 컴포넌트를 나타내지만, 챔버(100)의 다른 컴포넌트들이 또한 시즈닝 층(610)을 사용하여 컨디셔닝될 수 있다. 챔버(100)의 그러한 컴포넌트들은, 다른 것들 중에서도, 챔버 덮개(104), 측벽들(112), 최하부 벽(116), 로드(130), 리프트 핀들(161), 및 샤워헤드 어셈블리(142)를 포함할 수 있다. 도 6b에 도시된 바와 같이, 시즈닝 층은 또한, 샤워헤드 어셈블리(142) 및 측벽들(112) 상에 증착된다. 시즈닝 층은 금속 실리사이드, 이를테면, 텅스텐 실리사이드, 티타늄 실리사이드 또는 몰리브덴 실리사이드(그러나 이에 제한되지 않음)를 포함한다. 본 개시내용에서, 텅스텐 실리사이드가 일 예로서 사용된다.
[0050] 일부 실시예들에서, 텅스텐 실리사이드 층의 형성은 몇몇 동작들을 수반한다. 제1 동작에서, 제1 시간 구간 동안 텅스텐 헥사플루오라이드는 가스 애플리케이터로부터 플라즈마 프로세싱 챔버의 챔버 볼륨 내로 유동된다. 일부 실시예들에서, 텅스텐 헥사플루오라이드는 아르곤과 혼합된다. 제2 동작에서, 제2 시간 구간 동안 텅스텐 헥사플루오라이드 및 아르곤은, 불활성 퍼지 가스의 도입과 함께 또는 불활성 퍼지 가스의 도입 없이, 플라즈마 프로세싱 챔버로부터 제거된다. 제3 동작에서, 제3 시간 구간 동안 실란은 가스 애플리케이터로부터 플라즈마 프로세싱 챔버의 챔버 볼륨 내로 유동된다. 일부 실시예들에서, 실란은 헬륨과 혼합된다. 그런 다음, 제4 동작에서, 제4 시간 구간 동안 실란 및 헬륨은, 불활성 퍼지 가스의 도입과 함께 또는 불활성 퍼지 가스의 도입 없이, 플라즈마 프로세싱 챔버로부터 제거된다. 제1, 제2, 제3, 및 제4 시간 구간들은 대략 0.1 초 내지 대략 100 초의 지속기간을 가질 수 있다. 결합되면, 제1, 제2, 제3, 및 제4 시간 구간들은 시간 사이클을 정의한다. 일부 실시예들에서, 제1 시간 구간과 제3 시간 구간은 동일하거나, 상이하거나, 또는 각각의 시간 사이클마다 지속기간이 변화된다. 제1, 제2, 제3, 및 제4 동작들을 포함하는 시간 사이클은, 텅스텐 실리사이드의 층이, 기판이 없는 기판 지지부, 및 챔버의 다른 컴포넌트들 상에 원하는 두께까지 증착될 때까지 복수 회 반복된다. 텅스텐 실리사이드의 시즈닝 층의 두께는 대략 10 nm 내지 대략 10 미크론(10000 nm)이다.
[0051] 본 개시내용은 기판 상에서의 두꺼운 텅스텐계 하드마스크 층들의 형성을 가능하게 하는 얇은 금속 실리사이드 층을 기판 상에 증착하기 위한 방법들 및 장치를 설명한다. 챔버 내로 도입된 플루오라이드 라디칼들은, 텅스텐계 하드마스크와 실리콘계 기판 사이의 접착력 및 응력 미스매치들로 인해, 텅스텐계 하드마스크 층들이 실리콘계 기판들로부터 박리되게 한다. 그러나, 두꺼운 텅스텐계 층의 증착 전에 실리콘계 기판 상에 증착된 얇은 금속 실리사이드 시드 층이 플루오라이드 라디칼들을 트래핑하여서, 플루오린이 시드 층 아래의 표면에 도달하는 것을 실질적으로 억제한다. 얇은 금속 실리사이드 시드 층은 또한, 텅스텐계 하드마스크 층과 실리콘계 기판 사이의 균질성으로 인해 충분한 응력 완화를 전달한다. 따라서, 다양한 양들의 보론, 카본, 니트로겐 및 실리콘으로 도핑된 다양한 두꺼운 텅스텐계 층들이 실리콘계 기판 상에 증착될 수 있다. 결과적으로, 텅스텐계 층은 얇은 금속 실리사이드 시드 층으로 인해 실리콘계 기판에 양호하게 접착되며, 이는 텅스텐계 층이 박리됨이 없이 후속적인 에칭 프로세스들이 수행되는 것을 가능하게 한다. 얇은 금속 실리사이드 시드 층은 또한, 후속적인 에칭 프로세스들과 호환적이지 않은 엘리먼트들을 제거한다.
[0052] 본 개시내용은 추가로, 챔버 컴포넌트들 상에서의 AlFx의 형성을 방지하기 위해 챔버 컴포넌트들 상에 얇은 금속 실리사이드 시즈닝 층을 증착하기 위한 방법들 및 장치를 추가로 설명한다. AlFx는 기판들 상에서 입자 오염 및 프로세스 드리프트들을 야기하여서, 기판들의 세정 효과를 떨어뜨린다. 본원에서 설명된 가스 애플리케이터를 사용하여 증착된 얇은 금속 실리사이드 시즈닝 층은 입자들의 형성을 완화시키고 기판들의 오염을 방지한다. 동시에, 금속 실리사이드 시즈닝 층이 챔버 컴포넌트들의 알루미늄계 표면들에 양호하게 접착되기 때문에, 챔버 내로 후속적으로 도입되는 임의의 플루오라이드 이온들은 금속 실리사이드 시즈닝 층 내에 트래핑되며, 임의의 알루미늄계 표면과 반응하지 않아서 AlFx를 형성하지 않는다.
[0053] 전술한 바가 본 개시내용의 특정 실시예들에 관한 것이지만, 이러한 실시예들은 단지 본 개시내용의 원리들 및 애플리케이션들을 예시하는 것일 뿐임이 이해되어야 한다. 따라서, 첨부된 청구항들에 의해 정의되는 바와 같은, 본 개시내용의 사상 및 범위로부터 벗어남이 없이, 다른 실시예들에 도달하기 위해, 예시적인 실시예들에 대한 다수의 변형들이 이루어질 수 있음이 이해되어야 한다.

Claims (20)

  1. 기판 상에 하드마스크를 형성하는 방법으로서,
    타겟 층을 갖는 기판을 프로세싱 챔버 내에 포지셔닝하는 단계;
    금속 실리사이드를 포함하는 시드 층을 상기 타겟 층 상에 형성하는 단계 ― 상기 시드 층에서 실리콘의 농도는 금속의 농도보다 더 높음 ―; 및
    텅스텐계 벌크 층을 상기 시드 층 상에 증착하는 단계를 포함하며,
    상기 금속 실리사이드를 포함하는 상기 시드 층 및 상기 텅스텐계 벌크 층은 상기 하드마스크를 형성하는,
    기판 상에 하드마스크를 형성하는 방법.
  2. 제1 항에 있어서,
    상기 금속 실리사이드를 포함하는 시드 층을 상기 타겟 층 상에 형성하는 단계는,
    (A) 제1 시간 구간 동안, 금속 헥사플루오라이드를 가스 애플리케이터(gas applicator)로부터 상기 프로세싱 챔버 내로 유동시키는 단계;
    (B) 제2 시간 구간 동안, 상기 금속 헥사플루오라이드를 상기 프로세싱 챔버로부터 제거하는 단계;
    (C) 제3 시간 구간 동안, 실란을 상기 가스 애플리케이터로부터 상기 프로세싱 챔버 내로 유동시키는 단계;
    (D) 제4 시간 구간 동안, 상기 실란을 상기 프로세싱 챔버로부터 제거하는 단계 ― 상기 제1, 제2, 제3 및 제4 시간 구간들은 시간 사이클을 구성함 ―; 및
    (E) 상기 (A), (B), (C) 및 (D)를 반복하는 단계를 포함하는,
    기판 상에 하드마스크를 형성하는 방법.
  3. 제1 항에 있어서,
    상기 시드 층을 형성하기 전에 상기 타겟 층을 실란에 노출시키는 단계를 더 포함하는,
    기판 상에 하드마스크를 형성하는 방법.
  4. 제1 항에 있어서,
    상기 하드마스크를 패터닝하는 단계;
    상기 패터닝된 하드마스크를 통해 상기 타겟 층을 에칭하는 단계; 및
    상기 하드마스크를 제거하는 단계를 더 포함하는,
    기판 상에 하드마스크를 형성하는 방법.
  5. 제1 항에 있어서,
    상기 타겟 층은 실리콘 옥사이드, 실리콘 나이트라이드, 비정질 실리콘 및 폴리실리콘 중 적어도 하나를 포함하는,
    기판 상에 하드마스크를 형성하는 방법.
  6. 제1 항에 있어서,
    상기 텅스텐계 벌크 층은 텅스텐, 텅스텐 카바이드, 텅스텐 나이트라이드, 텅스텐 카보나이트라이드, 텅스텐 보론 카바이드 및 텅스텐 보론 나이트라이드 중 적어도 하나를 포함하는,
    기판 상에 하드마스크를 형성하는 방법.
  7. 제1 항에 있어서,
    시드 층의 두께는 1 nm 내지 100 nm인,
    기판 상에 하드마스크를 형성하는 방법.
  8. 제1 항에 있어서,
    상기 텅스텐계 벌크 층의 두께는 10 nm 내지 5000 nm인,
    기판 상에 하드마스크를 형성하는 방법.
  9. 기판 상에 층을 형성하는 방법으로서,
    타겟 층을 갖는 기판을 프로세싱 챔버 내에 포지셔닝하는 단계;
    불활성 가스를 가스 애플리케이터로부터 상기 프로세싱 챔버 내로 유동시키는 단계 ― 상기 불활성 가스는 아르곤 또는 헬륨을 포함함 ―;
    상기 프로세싱 챔버 내에서 플라즈마를 활성화(energizing)시키는 단계; 및
    상기 플라즈마의 존재 하에서 금속 실리사이드를 포함하는 시드 층을 상기 타겟 층 상에 형성하는 단계를 포함하고,
    상기 시드 층에서 실리콘의 농도는 금속의 농도보다 더 높은,
    기판 상에 층을 형성하는 방법.
  10. 제9 항에 있어서,
    상기 시드 층을 형성하는 단계는,
    (A) 제1 시간 구간 동안, 아르곤과 혼합된 금속 헥사플루오라이드를 상기 가스 애플리케이터로부터 상기 프로세싱 챔버 내로 유동시키는 단계;
    (B) 제2 시간 구간 동안, 상기 아르곤과 혼합된 금속 헥사플루오라이드를 상기 프로세싱 챔버로부터 제거하는 단계;
    (C) 제3 시간 구간 동안, 헬륨과 혼합된 실란을 상기 가스 애플리케이터로부터 상기 프로세싱 챔버 내로 유동시키는 단계;
    (D) 제4 시간 구간 동안, 상기 헬륨과 혼합된 실란을 상기 프로세싱 챔버로부터 제거하는 단계 ― 상기 제1, 제2, 제3 및 제4 시간 구간들은 시간 사이클을 구성함 ―; 및
    (E) 상기 (A), (B), (C) 및 (D)를 반복하는 단계를 포함하는,
    기판 상에 층을 형성하는 방법.
  11. 제9 항에 있어서,
    상기 시드 층은 텅스텐 실리사이드, 티타늄 실리사이드, 또는 몰리브덴 실리사이드를 포함하는,
    기판 상에 층을 형성하는 방법.
  12. 제9 항에 있어서,
    상기 시드 층을 형성하는 단계는,
    제1 비율의 실리콘을 제2 비율의 금속과 반응시키는 단계를 포함하고, 상기 제1 비율은 상기 제2 비율보다 더 높은,
    기판 상에 층을 형성하는 방법.
  13. 제2 항에 있어서,
    상기 제1 시간 구간 및 상기 제3 시간 구간은 반복할 때마다 증가하는,
    기판 상에 하드마스크를 형성하는 방법.
  14. 제2 항에 있어서,
    상기 제1 시간 구간, 상기 제2 시간 구간, 상기 제3 시간 구간, 및 상기 제4 시간 구간 각각은 0.1 초 내지 100 초인,
    기판 상에 하드마스크를 형성하는 방법.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020197036223A 2017-05-12 2018-05-11 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착 KR102601706B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237028384A KR20230127369A (ko) 2017-05-12 2018-05-11 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762505672P 2017-05-12 2017-05-12
US62/505,672 2017-05-12
PCT/US2018/032267 WO2018209200A2 (en) 2017-05-12 2018-05-11 Deposition of metal silicide layers on substrates and chamber components

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237028384A Division KR20230127369A (ko) 2017-05-12 2018-05-11 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착

Publications (2)

Publication Number Publication Date
KR20190141256A KR20190141256A (ko) 2019-12-23
KR102601706B1 true KR102601706B1 (ko) 2023-11-10

Family

ID=64096189

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197036223A KR102601706B1 (ko) 2017-05-12 2018-05-11 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착
KR1020237028384A KR20230127369A (ko) 2017-05-12 2018-05-11 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020237028384A KR20230127369A (ko) 2017-05-12 2018-05-11 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착

Country Status (5)

Country Link
US (2) US10734232B2 (ko)
JP (2) JP7221879B2 (ko)
KR (2) KR102601706B1 (ko)
CN (2) CN116978782A (ko)
WO (1) WO2018209200A2 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020236749A1 (en) * 2019-05-22 2020-11-26 Lam Research Corporation Nucleation-free tungsten deposition
US11862475B2 (en) 2020-10-15 2024-01-02 Applied Materials, Inc. Gas mixer to enable RPS purging
US20220403505A1 (en) * 2021-06-16 2022-12-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
DE102021116587B3 (de) * 2021-06-28 2022-07-07 Jenoptik Optical Systems Gmbh Verfahren zum Herstellen einer Ätzmaske, Verfahren zum Ätzen einer Struktur in ein Substrat, Verwendung einer Tetrelschicht

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009024252A (ja) * 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法
JP2009060083A (ja) * 2007-09-03 2009-03-19 Hynix Semiconductor Inc 半導体素子の微細パターン形成方法
JP2009533877A (ja) 2006-04-11 2009-09-17 アプライド マテリアルズ インコーポレイテッド コバルト含有材料を形成するプロセス
JP2010536176A (ja) 2007-08-03 2010-11-25 マイクロン テクノロジー, インク. 緊密なピッチのコンタクトを含む半導体構造体、ならびにその形成方法
JP2016181687A (ja) * 2015-03-24 2016-10-13 ラム リサーチ コーポレーションLam Research Corporation ハードマスクのための金属誘電体膜の蒸着

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6037265A (en) * 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
TWI335615B (en) * 2002-12-27 2011-01-01 Hynix Semiconductor Inc Method for fabricating semiconductor device using arf photolithography capable of protecting tapered profile of hard mask
KR20050013817A (ko) * 2003-07-29 2005-02-05 삼성전자주식회사 선택적 실리사이드 형성방법을 이용한 반도체 소자의제조방법
TWI319204B (en) * 2004-10-12 2010-01-01 Hynix Semiconductor Inc Method for fabricating semiconductor device using tungsten as sacrificial hard mask
KR100605500B1 (ko) * 2005-03-03 2006-07-28 삼성전자주식회사 라인형 활성영역을 갖는 반도체소자들 및 그 제조방법들
US7659184B2 (en) * 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US8647989B2 (en) 2011-04-15 2014-02-11 United Microelectronics Corp. Method of forming opening on semiconductor substrate
US9318598B2 (en) * 2014-05-30 2016-04-19 Texas Instruments Incorporated Trench MOSFET having reduced gate charge
KR102171265B1 (ko) * 2014-07-08 2020-10-28 삼성전자 주식회사 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009533877A (ja) 2006-04-11 2009-09-17 アプライド マテリアルズ インコーポレイテッド コバルト含有材料を形成するプロセス
JP2009024252A (ja) * 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法
JP2010536176A (ja) 2007-08-03 2010-11-25 マイクロン テクノロジー, インク. 緊密なピッチのコンタクトを含む半導体構造体、ならびにその形成方法
JP2009060083A (ja) * 2007-09-03 2009-03-19 Hynix Semiconductor Inc 半導体素子の微細パターン形成方法
JP2016181687A (ja) * 2015-03-24 2016-10-13 ラム リサーチ コーポレーションLam Research Corporation ハードマスクのための金属誘電体膜の蒸着

Also Published As

Publication number Publication date
US10734232B2 (en) 2020-08-04
WO2018209200A3 (en) 2018-12-13
US20180330951A1 (en) 2018-11-15
US20200357643A1 (en) 2020-11-12
KR20230127369A (ko) 2023-08-31
JP2020520116A (ja) 2020-07-02
WO2018209200A2 (en) 2018-11-15
US10950445B2 (en) 2021-03-16
KR20190141256A (ko) 2019-12-23
JP7221879B2 (ja) 2023-02-14
CN116978782A (zh) 2023-10-31
CN110622282A (zh) 2019-12-27
JP2023065378A (ja) 2023-05-12
CN110622282B (zh) 2023-08-04

Similar Documents

Publication Publication Date Title
US10998187B2 (en) Selective deposition with atomic layer etch reset
US10950445B2 (en) Deposition of metal silicide layers on substrates and chamber components
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
TWI704635B (zh) 增進製程均勻性的方法及系統
JP5909484B2 (ja) 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
US9378969B2 (en) Low temperature gas-phase carbon removal
US8741778B2 (en) Uniform dry etch in two stages
US8435902B2 (en) Invertable pattern loading with dry etch
JP6580426B2 (ja) 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム
JP2020530201A (ja) プラズマエッチングプロセスでのコーティング部品を使用するプロセスウィンドウの拡大
JP6578163B2 (ja) 裏面成膜を低減し、基板端部の厚さ変化を緩和するシステムおよび方法
TWI747211B (zh) 賦予摻雜硼之碳膜靜電夾持及極佳粒子性能的漸變原位電荷捕捉層
TW202117931A (zh) 間隙填充沉積製程
TW202033814A (zh) 用於具有可重複蝕刻與沉積率之增進效能之調節遠端電漿源的方法
JP2023118883A (ja) プラズマ処理装置
CN112930580A (zh) 处理腔室部件的清洁方法
CN116568862A (zh) 陈化处理腔室的方法
JP7309799B2 (ja) エッチング方法及びプラズマ処理装置
JP2022074000A5 (ko)
CN115803470A (zh) 具有氟化铝等离子体暴露表面的远程等离子体源喷头组件

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant