CN112930580A - 处理腔室部件的清洁方法 - Google Patents

处理腔室部件的清洁方法 Download PDF

Info

Publication number
CN112930580A
CN112930580A CN201980068601.7A CN201980068601A CN112930580A CN 112930580 A CN112930580 A CN 112930580A CN 201980068601 A CN201980068601 A CN 201980068601A CN 112930580 A CN112930580 A CN 112930580A
Authority
CN
China
Prior art keywords
residue
plasma
showerhead
processing
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980068601.7A
Other languages
English (en)
Inventor
B·S·权
P·K·库尔施拉希萨
K·D·李
S·博贝克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112930580A publication Critical patent/CN112930580A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

提供了一种清洁半导体处理腔室的部件的方法。所述方法包含将部件中的残留物暴露于含有含氮气体和含氧气体的工艺等离子体。部件中的残留物经历化学反应,从而清洁部件。清洁了部件,将部件恢复到运行工艺化学反应之前的条件。

Description

处理腔室部件的清洁方法
背景技术
技术领域
本发明的实施例涉及一种方法,并且更具体地,涉及清洁在处理腔室中使用的部件的方法。
相关技术说明
清洁工艺对于半导体制造中的膜沉积是至关重要的,因为它们影响在沉积的膜中形成的缺陷数量和晶片上工艺的稳定性。由于半导体器件开始需要更高的存储器密度,并且因此需要更厚的多堆叠结构(即,3D VNAND、3D ReRAM、DRAM),因此在最短时间内完全清洁腔室的能力对于显著增加晶片产量至关重要。在当前的清洁工艺中,随着膜厚度被缩放以符合高长宽比(HAR)应用要求,清洁时间同样需要增加。
高温(>600℃)碳化学气相沉积(CVD)工艺是用于为半导体器件制造创建硬模(hardmask)的最普遍的技术之一,这是因为与传统的等离子体增强CVD(PECVD)碳工艺(~480℃)相比,这些膜的高蚀刻选择性(>1.5×),以及清洁的化学简单性。为了在生产中实现较厚的硬模,高产量是必需的。随着硬模厚度的增加,沉积时间和清洁时间两者也必须增加,从而降低了晶片产量。
然而,当前清洁方法的一个缺点是它们不足够有效以按照现代半导体制造所需的产量来有效清洁处理腔室部件。另外,在清洁工艺期间增加射频(RF)功率以产生更强的等离子体会在处理腔室部件上产生不想要的残留物沉积。而且,不需要将处理腔室部件从处理腔室移除的清洁方法增加清洁的简便性,并且减少操作员的停机时间和成本。
因此,需要一种用于污染的半导体腔室部件的更有效的清洁方法。
发明内容
在一个实施例中,提供一种从处理腔室部件移除残留物的方法,包含:在设置在处理腔室的处理区域中的处理腔室部件的表面上形成残留物;将在处理腔室部件的表面上形成的残留物暴露于第一工艺等离子体,同时处理腔室部件的表面设置在处理区域内并被加热至第一温度。第一工艺等离子体包括含氮气体和含氧气体。通过射频(RF)偏压处理腔室部件来形成第一工艺等离子体。
在另一实施例中,提供一种从处理腔室部件移除残留物的方法,包含:将设置在处理腔室的处理区域中的处理腔室部件上形成的残留物暴露于第一工艺等离子体,同时处理腔室部件被加热至第一温度;以及将残留物暴露于第二工艺等离子体,同时处理腔室部件设置在处理区域中,同时处理腔室部件被加热至第二温度。第一工艺等离子体包括含氮气体。第二工艺等离子体包括含氧气体。
在一些实施例中,含氮等离子体和含氧等离子体的组合提供了对半导体系统中的处理腔室部件的表面的更彻底的清洁。相比于传统化学方法,更彻底的清洁允许更快的清洁,并且所需的清洁频率更低。
附图说明
为了可详细地理解本公开的上述特征的方式,可通过参考实施例来对以上简要概述的实施例进行更具体的描述,所述实施例中的一些实施例在附图中示出。然而,应注意,附图仅示出了本公开的典型实施例,并且因此不应被认为是对其范围的限制,因为本公开可允许其他等效的实施例。
图1示出了根据一个实施例的被配置成在基板上沉积材料的沉积腔室。
图2A示意性地示出了根据一个实施例的具有形成在喷头的表面上的残留物的喷头的部分。
图2B示意性地示出了根据一个实施例的具有设置在喷头的表面上的反应残留物的喷头的部分。
图2C示意性地示出了根据一个实施例的在执行清洁之后的喷头的部分。
图3A是根据一个实施例的示出用于清洁部件的操作的工艺流程图。
图3B是根据一个实施例的示出用于清洁部件的操作的工艺流程图。
为了便于理解,在可能的情况下使用相同的附图标记来指示附图中共有的相同元件。可预期的是,一个实施例的元件和特征可被有益地并入其他实施例中,而无需进一步叙述。
具体实施方式
本文提供的本公开的实施例包含一种清洁一个或更多个处理腔室部件的工艺,所述处理腔室部件包含形成在所述处理腔室部件上的残留物,以便确保稳定的处理环境和处理腔室的适当功能。在一些实施例中,清洁工艺包含将形成在半导体部件上的残留物暴露于工艺等离子体,这使残留物经历化学反应,所述化学反应改变了沉积的残留物的性质。在一些实施例中,残留物进一步与设置于第二工艺等离子体中的成分反应,这从处理腔室部件移除残留物。在一些实施例中,处理腔室部件为喷头,并且清洁工艺气体以与沉积化学物质(例如,沉积前驱物)流过喷头中的孔隙相同的方式流过喷头中的孔隙。本文提供的本公开的实施例可尤其适用于但不限于清洁设置在半导体处理腔室的处理区域内的部件。
图1示出了根据一个实施例的处理腔室组件100。如图所示,处理腔室组件100包含处理腔室101、注射系统150、和偏压功率系统151。组件100是本领域中已知的任何类型的高性能半导体处理腔室,例如但不限于蚀刻器、清洁器、熔炉或用于制造电子元件的任何其他系统。根据一个实施例,处理腔室组件100是由位于加利福尼亚州圣克拉拉市的应用材料公司制造的系统之一。处理腔室101提供用于生长诸如基板103上的硬模层之类的层的腔室。注射系统150提供工艺气体或工艺等离子体,以促进材料在基板103表面上的生长。根据一个实施例,偏压功率系统151向基板提供偏压功率以促进薄膜或硬模在基板103的表面之上的生长。处理腔室组件100的部件协同工作以在所提供的基板103上生长材料。
如图所示,处理腔室101包含基板103、静电卡盘(ESC)102、基座115、排气出口110、保持环152和开口113。在一些实施例中,基板103是裸露的硅晶片或锗晶片。在另一实施例中,基板103进一步包括薄膜。基板103可为光掩模、半导体晶片、或电子元件制造领域的普通技术人员已知的其他工件。根据一些实施例,基板103包括用于制造集成电路、无源微电子元件(例如,电容器、电感器)和有源微电子元件(例如,晶体管、光侦测器、雷射、二极管)中的任一者的任何材料。根据一个实施例,基板103包括绝缘(例如,介电)材料以将此类有源微电子元件和无源微电子元件与在它们顶部上形成的一个或多个导电层分开。在一个实施例中,基板103为半导体基板,所述半导体基板包含一个或更多个介电层,例如二氧化硅、氮化硅、蓝宝石、和其他介电材料。在一个实施例中,基板103是包含一个或更多个层的晶片堆叠。基板103的一个或更多个层可包含导电的、半导体的、绝缘的或其任何组合的层。根据一个实施例,在基板103上生长硬模层。根据一个实施例,硬模层包含碳(C)含碳材料。在一个示例中,硬模层包含非晶碳层。
根据一个实施例,基板103设置在静电卡盘102上。根据一个实施例,基板103通过保持环152维持在静电卡盘102上的位置或相对于静电卡盘102对准。在一些实施例中,可通过使用加热和冷却元件来将静电卡盘102的温度控制在从约20℃至约650℃的范围。在一些实施例中,在处理期间将基板103“夹紧”到静电卡盘102的基板支撑表面以主动地控制基板温度。根据一个实施例,静电卡盘102设置在基座115之上。基座115可由加热元件(未示出)进行加热,所述加热元件诸如嵌入在基座内的电阻加热器、或当在其上时通常瞄准基座115或基板103的灯具(未示出)。使用此类热控制,可将基板103维持在约20℃至约650℃之间的温度。在一些实施例中,保持环152和其他类似定位的腔室部件由含铝(Al)材料、不锈钢合金或陶瓷材料形成,这些材料诸如铝合金(例如1000系列Al、6000系列Al、4000系列Al)、奥氏体不锈钢(例如304SST、316SST)、硅材料或氧化铝、石英或氮化铝(AlN)。在一些替代实施例中,静电卡盘102由陶瓷材料形成,所述陶瓷材料诸如氮化铝(AlN)、碳化硼(BC)、或氮化硼(BN)。
基板103通过开口113装载并放置在静电卡盘102上。处理腔室101经由排气出口110排气。根据一个实施例,排气出口110连接至真空泵系统(未示出)以在处理腔室101中处理期间将产生的挥发性产物排出。处理腔室101的部件协同工作以在所提供的基板103上提供用于膜生长的位置。
如图所示,偏压功率系统151包含直流(DC)静电卡盘(ESC)电源104和射频(RF)源功率116。RF源功率116通常能够产生具有在从2MHz至160MHz范围内的可调频率的RF信号,其中13.56MHz或2MHz是典型操作频率,并且功率在约1kW与约5kW之间。在一些实施例中,耦合至RF源功率116的电极设置在静电卡盘102内。根据一个实施例,DC静电卡盘(ESC)电源104连接至设置在基座115内的卡紧电极(未示出)。偏压功率系统151提供跨基板103的偏压电压以促进沉积膜的处理。
如图所示,注射系统150包含喷头105、RF功率源106和质量流量控制器109。通过一个或更多个质量流量控制器109(例如,质量流量控制器109A、109B)将一种或更多种工艺气体111(诸如工艺气体111A、111B)供应至腔室101。根据一个实施例,工艺气体111是用于处理设置在处理腔室101的处理区域121内或形成在处理腔室101的处理区域121内的薄膜的气体。在一些实施例中,设置在处理腔室101的处理区域121内或形成在处理腔室101的处理区域121内的薄膜是通过使用等离子体增强CVD工艺来形成的非晶碳层。一种或更多种工艺气体111A、111B可分别包含用于清洁部件的第一工艺气体和/或第二工艺气体,如下所述(图3A和图3B)。质量流量控制器109根据由系统执行的特定配方或应用来控制输送至喷头105和通过喷头105的工艺气体111的流速。RF功率源106通常能够产生具有在从2MHz至160MHz范围内的可调频率的RF信号,诸如13.56MHz或2MHz是典型操作频率,并且功率在约500W与约5kW之间。
通过中央控制器190来控制特定配方或应用,中央控制器190给出特定温度、定时和工艺气体步骤。控制器190可包含中央处理单元(CPU)192、存储器194和支持电路196,例如输入/输出电路系统、电源、时钟电路、高速缓存等。存储器194连接至CPU 192。存储器为非暂时性可计算可读介质,并且可为一个或更多个易于获得的存储器,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、或其他形式的数字存储。另外,尽管被示出为单个计算机,但控制器190可以是分布式系统,例如,包含多个独立操作的处理器和存储器。此架构基于控制器190的编程而可适用于各种配方以控制工艺气体的顺序和流量。计算机可读存储介质将包含含有计算机可读指令的非易失性存储器,使得当由处理器(例如,CPU 192)执行计算机可读指令时,处理器将执行计算机实现的方法,诸如本文所述的处理方法中的一个或更多个的实现。
当将从RF功率源106施加的等离子体功率施加至腔室101的一部分时,在基板103的表面之上的处理区域121中形成等离子体107。在一些实施例中,RF功率源106耦合至喷头105,喷头105将等离子体分散至基板103。根据一个实施例,喷头105包含含铝(Al)材料。在一个示例中,喷头包含铝合金,诸如6061合金。
在处理腔室101的正常使用期间,诸如在基板103上沉积硬模层或其他膜时,在处理腔室的各种部件上形成不想要的残留物215。残留物215可至少包括碳(C)和氧(O)。残留物形成于其上的部件可以是喷头105、基座115、静电卡盘102、处理腔室101的壁131等的表面。通常,残留物215干扰部件的正常运行。例如,残留物215可从部件剥落成微粒,并掉落至基板103上,这防止了所得形成的元件的正常运行。残留物215也可形成在喷头105的孔隙201(图2A)中,这减少或堵塞了工艺气体流。例如,如果部件为喷头105(图2A),残留物215可阻碍一种或更多种工艺气体111流入处理区域121,从而减慢工艺气体111的流速并增加沉积时间。
残留物215改变了跨腔室部件表面的平均和局部发射率,这干扰腔室101内部件之间的平均和局部辐射热传递,这使处理环境的热性能随时间飘移,导致从一个经处理的基板103到另一个经处理的基板的不均匀的工艺结果。残留物215也可在操作期间脱离并掉落至下方的基板103上,从而导致沉积在基板上的层中的缺陷。另外,残留物215能够堵塞孔隙201的全部或一部分,从而严重减少或完全阻断工艺气体111通过这些孔隙的流动,这可在硬模的生长期间使基板103表面上的沉积厚度不均匀。如果受残留物215影响的处理腔室部件为基座115,则残留物215可使形成在基板背侧表面和基座表面之间的摩擦减低,从而使基板在处理期间或在静电卡盘102上放置基板期间滑动。基板滑动导致基板103被错误地定位在基座115的静电卡盘102的表面上,从而导致晶片碎裂、在静电卡盘102的不想要的部分上的沉积、和其他类似的硬件损坏。另外,在基座115的升降部件上形成残留物215将使基座卡在某个位置,从而干扰在基板103表面上的适当沉积。如果残留物215形成在保持环152上,则残留物可防止基板103的正确定位,这造成基板上的沉积或图案化中的误差。如果残留物215形成在开口113中,则可影响腔室101中的基板103的移除和插入,从而防止静电卡盘102上基板的正确定位。如果残留物215形成在排气出口110中,则残留物215可使所使用的处理气体无法离开处理腔室101,导致了处理腔室101的处理区域121内的不想要的挥发性物质。
图3A是根据一个实施例的包含用于清洁部件的方法300的工艺流程图。尽管结合图2A至2C和图3A描述了方法操作,但是本领域技术人员将理解,被配置成以任何顺序执行处理操作的任何系统落入本文所述实施例的范围内。所述方法开始于操作305,其中部件暴露于生长工艺等离子体,使得残留物215形成在基板103和各种腔室部件上。例如,残留物215可在腔室101的壁上、喷头105的孔隙201中、喷头105的面板120上、或在基座115的表面上形成。在一些实施例中,通过使用等离子体增强CVD工艺来在基板上形成非晶碳层并在腔室部件中的一个或更多个上形成残留物215。PECVD非晶碳层形成工艺可包含使用诸如丙烯(C3H6)、环丁烷(C4H8)、乙烯(C2H4)或类似的前驱物之类的碳氢化合物前驱物、以及诸如氩(Ar)或氦(He)之类的惰性气体。
图2A示出了在执行操作305之后的喷头105。如图所示,喷头105包含多个孔隙201。孔隙201包含内通道205、倾斜部分206、外通道207和出口210。倾斜部分206将内通道205流体连接至外通道207。工艺气体111流过内通道205,通过倾斜部分206,并且通过外通道207进入处理腔室101。根据处理腔室101的一个实施例,内通道205的宽度小于外通道207的宽度。根据处理腔室101的另一实施例,内通道205的宽度大于外通道207的宽度。根据处理腔室101的另一实施例,内通道205的宽度与外通道207的宽度相同,并且没有倾斜部分206。在一个实施例中,通过工艺等离子体107在孔隙201中的至少一个的一侧上形成残留物215。在一个示例中,残留物215形成在孔隙201的倾斜部分206上。残留物215也可形成在喷头105的面板120上,这改变了从残留物位于其上的面板的区域发出的发射率211。残留物215也可形成在内通道205的入口处,使得工艺气体流被部分地或完全地阻止流过阻塞的内通道。在一些实施例中,由诸如铝合金(例如1000系列Al、6000系列Al、4000系列Al)之类的铝(Al)材料形成喷头105。在一些替代实施例中,由诸如石英、蓝宝石、氧化铝或氮化硼之类的硅材料或陶瓷材料来形成喷头105。
在操作310处,将残留物215暴露于第一工艺等离子体。在喷头105处于操作位置时,第一工艺气体流过喷头105的多个孔隙201,并且因此尚未从处理腔室101移除。根据一个实施例,第一工艺等离子体含有含氮气体。根据一个实施例,含氮气体包括氮气(N2)或氨气(NH3)。根据一个实施例,含氮气体可进一步包括中性气体或载气,例如氦(He)或氩(Ar)。载气有助于将工艺环境维持在期望的压力。可通过使用RF功率源106来将含氮气体激发成等离子体,这产生诸如N2 +、NH2 +和NH+之类的离子或诸如NH之类的自由基。离子和自由基是反应性物质,并且引起和/或加速残留物215经历与反应性物质的化学反应。RF功率源106生成吸引等离子体中形成的离子的偏压,从而将所述离子拉向喷头105的表面,并帮助所述离子穿透残留物215。
图2B示出了根据一个实施例的在执行操作310的至少一部分之后的喷头105。在执行操作310之后,将残留物215的至少一部分改变成改性残留物220。在一个实施例中,在将残留物暴露于第一工艺等离子体之后,改性残留物220包括比碳(C)更高百分比的氮(N)。在处理期间,可将处理腔室101的一个或更多个部分加热至第一温度。在一个示例中,将处理腔室部件(例如,喷头105)加热至第一温度。第一温度可从约150℃至约650℃变化。增加的温度增加了通过将残留物215暴露于所生成的等离子体而产生的化学反应的速率。处理腔室101的压力可从约1托至约20托变化。含氮气体可以以约100sccm至15000sccm流动。含氮气体可流动约1秒至约20分钟。取决于特定的处理腔室部件、残留物215的化学成分、和处理腔室101的大小,可改变流速和流动时间以优化用于清洁处理腔室部件所需的时间。此外,流动时间上的变化允许由自由基和离子对残留物215的更深的穿透,从而允许残留物215的整个深度发生化学反应。
在一些实施例中,RF功率源106被配置成将RF偏压施加至一个或更多个处理腔室部件(例如,喷头105、保持环152等),使得为在操作310期间在等离子体中生成的离子提供足够的能量(eV),以使等离子体生成的离子直接与设置在腔室部件表面处的材料相互作用。RF功率可从约800W至约2500W变化。反应性物质与腔室部件表面处的材料的相互作用将使化学反应发生,这将修改腔室部件表面处的材料的化学、光学和/或机械性质。在一个示例中,RF功率源106被配置成将RF偏压施加至喷头105,以使在所形成的等离子体中生成的含氮离子以物理方式和/或以化学方式修改在喷头105的暴露表面上形成的含碳残留物(例如,非晶碳、多晶碳),并且还以物理方式和/或以化学方式修改设置在喷头105表面上的铝材料。
处理腔室部件的改性表面可通过防止处理腔室部件表面受到随后提供的反应性气体的攻击来帮助改善在处理腔室中处理的后续基板的工艺结果,并稳定处理腔室部件的暴露表面的发射率。在一些实施例中,处理腔室部件包含Al、铝合金或其他类似材料,并且清洁操作310导致在部件表面上形成保护性氮化铝(AlxNy)薄膜。AlxNy薄膜比包含沉积的膜材料的残留物215和在沉积的残留物215与处理腔室部件表面(诸如喷头105表面)之间界面处形成的包含Al、C和O的化合物更热稳定。因此,AlxNy薄膜防止在处理条件期间形成残留物215。
在操作310的一个示例中,处理腔室部件中的一个或更多个处理腔室部件的第一温度维持在约150℃至约650℃,腔室的压力维持在约1托至约20托,以一定RF频率将约800W至约5000W的RF功率施加至处理腔室部件,同时提供包括氮的工艺气体达约1秒至约20分钟。在一个示例中,处理腔室部件为静电卡盘102、喷头105、出口110、开口113、基座115或保持环152。在一个示例中,工艺气体可包含以约100sccm至约15000sccm的N2流速和约100sccm至约15000sccm的Ar流速来提供的两种气体。
在操作310的另一示例中,处理腔室部件(诸如静电卡盘102或喷头105)的第一温度维持在约100℃至约650℃,腔室的压力维持在约4托至约20托,并且以约13.56MHz的RF频率将约800W至约5000W的RF功率施加至处理腔室部件,同时可提供包括Ar和N2的含氮气体达约10秒至约600秒。在此示例中,可以以约800sccm的N2流速和约100sccm的Ar流速来提供包括Ar和N2的含氮气体。在一些实施例中,喷头105维持在约100℃至约300℃的温度,和/或静电卡盘102维持在约400℃至约650℃的温度。
在操作310的另一示例中,静电卡盘102的第一温度维持在约400℃至约650℃,腔室的压力维持在约4托至约6托,以约13.56MHz的RF频率将约1000W至约2500W的RF功率施加至处理腔室部件,同时以约800sccm的N2流速提供包括N2的含氮气体,并且以约100sccm的流速提供载气(诸如Ar)达约10秒至约700秒。
在操作310的另一示例中,保持环152的第一温度维持在约600℃至约650℃,腔室的压力维持在约4托,以约13.56MHz的RF频率将约1700W的RF功率施加至处理腔室部件,同时以约800sccm的N2流速提供包括N2的含氮气体,并且以约100sccm的流速提供载气(诸如Ar)达约90秒。
在操作320处,将改性残留物220暴露于第二工艺等离子体。根据一个实施例,在操作320的开始处,第二工艺气体流过喷头105的多个孔隙201,同时处理腔室部件定位在处理腔室部件的工作位置,并且因此尚未从处理腔室101移除。根据一个实施例,第二工艺等离子体包含含氧气体。根据一个实施例,含氧气体可包含氧气(O2)或水(H2O)。含氧气体可进一步包含载气,可包括氦(He)或氩(Ar)。载气有助于将处理环境维持在期望的压力下。可通过使用RF功率源160来将含氧气体激发成等离子体,这产生诸如O+、O2 +或OH-之类的离子、或诸如O或OH之类的自由基。离子和自由基是反应性物质,并且使改性残留物220经历化学反应。图2C示出了根据一个实施例的在操作330发生之后的喷头105。第二工艺等离子体中的成分与改性残留物220发生化学反应。根据一个实施例,通过暴露于第二工艺等离子体来至少部分地从喷头105移除改性残留物220。根据一个实施例,至少一部分的改性残留物220变得易挥发并经由处理腔室101的排气出口110移除。在一个实施例中,改性残留物为含非晶碳的残留物,并且因此挥发性物质例如可包含一氧化碳(CO)和/或二氧化碳(CO2)。
在一些实施例中,在操作320期间将处理腔室101加热至第二温度,并且因此处理腔室部件中的一个或更多个处理腔室部件被加热至第二温度。第二温度可从约150℃至约650℃变化。增加的温度用于增加等离子体生成的物质与残留物215之间的化学反应的速率。在一些实施例中,第二温度可与第一温度不同。在第一工艺气体和第二工艺气体需要不同的温度以提供最佳的化学反应速率和期望的化学反应产物的情况下,第一温度和第二温度的差异可能是有用的。处理腔室101的压力可维持在从约1托至20托的压力。含氧气体可以以约100sccm至约15000sccm流动。含氧气体可流动达约1秒至20分钟。含氧气体可以以一定速率流动,使得在操作320中流动的含氧气体对含氮气体的比例为约3比1至约50比1。根据特定的处理腔室部件、改性残留物220的化学成分、和处理腔室101的大小,可改变流速、流动时间、和含氧气体对含氮气体的比例,以优化用于清洁处理腔室部件所需的时间。
在操作320的一个示例中,处理腔室部件中的一个或更多个处理腔室部件的第二温度维持在约150℃至约650℃,腔室的压力维持在约1托至约10托,以一定RF频率将约800W至约2500W的RF功率施加至处理腔室部件,同时提供包含氧的工艺气体达约10秒至约20分钟。在一个示例中,处理腔室部件为静电卡盘102、喷头105、出口110、开口113、基座115或保持环152。在一个示例中,工艺气体可包含含氧气体,所述含氧气体包含可以以约100sccm至约15000sccm的O2流速来提供的O2,以及约100sccm至约15000sccm的流速的载气,诸如Ar。
在操作320的一个示例中,处理腔室部件(诸如静电卡盘102或喷头105)的第二温度维持在约400℃至约650℃,腔室的压力维持在约4托至约10托,以约13.56MHz的RF频率将约1500W至约2300W的RF功率施加至处理腔室部件,同时提供含氧气体达约10秒至约80秒。在一个示例中,通过供应约14000sccm的O2流速来提供含氧气体,并且以约100sccm的流速来提供载气(诸如Ar)。
在操作320的一个示例中,静电卡盘102的第二温度维持在约600℃至约650℃,腔室的压力维持在约4托至约6托,以约13.56MHz的RF频率将约1500W至约2300W的RF功率施加至处理腔室部件,同时以约14000sccm的O2流速来提供包括O2的含氧气体,并且以约100sccm的流速来提供载气(诸如Ar)达约60秒。
第一处理操作310和第二处理操作320可依序重复多次,以便继续清洁部件。据信,重复工艺操作可每次增加处理腔室部件的清洁度。可以以任何顺序或同时执行第一处理操作和第二处理操作。例如,可在执行第一处理操作310之前执行第二处理操作320。与被残留物污染的原始部件相比,清洁部件的整个过程将使部件具有更好的功能。选择工艺气体,使得方法300不会导致处理腔室部件本身的不想要的蚀刻。
在方法300的一些实施例中,第一处理操作310和第二处理操作320的至少一部分重叠,并且因此同时执行。在方法300的重叠部分中,在处理腔室的处理区域中发现的残留物215暴露于含有含氧气体和含氮气体两者的等离子体。在方法300的一些实施例中,可能期望首先将残留物215暴露于使用在第一处理操作310中发现的工艺参数来形成的第一工艺等离子体,然后形成包含在第一处理操作310和第二处理操作320中提供的工艺气体的组合的第二等离子体(例如,含氮气体和含氧气体的混合物)。替代地,在方法300的一些实施例中,可能期望首先将残留物215暴露于使用第二处理操作320中发现的工艺参数形成的第一工艺等离子体,然后形成包含在第一处理操作310和第二处理操作320中提供的工艺气体的组合的第二等离子体(例如,含氮气体和含氧气体的混合物)。下面进一步描述可在同时执行第一处理操作310和第二处理操作320时使用的工艺参数的示例,诸如关于方法301中发现的讨论。
在方法300的一些实施例中,期望包含工艺操作,所述工艺操作包含同时执行第一处理操作310和第二处理操作320的组合,然后通过执行第一处理操作310或第二处理操作320中的任一者的至少一部分来结束方法300。在方法300的一些实施例中,执行第一处理操作310或第二处理操作320中的任一者的至少一部分,同时执行第一处理操作310和第二处理操作320的组合,然后对处理腔室中的残留物215执行第一处理操作310或第二处理操作320中的任一者的至少一部分。在一个示例中,首先将残留物215暴露于使用在第一处理操作310中发现的工艺参数(例如,气体成分、工艺压力、RF功率、温度等)形成的第一工艺等离子体,然后形成具有第二组工艺参数(例如,气体成分、工艺压力、RF功率、温度等)的第二等离子体,其中第二等离子体包含在第一处理操作310和第二处理操作320中提供的工艺气体的组合,然后使用在第一处理操作310中发现的工艺参数(例如,气体成分、工艺压力、RF功率、温度等)来形成第三等离子体。
替代的工艺示例
图3B是根据另一实施例的用于清洁部件的方法301的流程图。尽管结合图2A至2C和图3B来描述方法301,但是本领域技术人员将理解,配置成以任何顺序执行方法操作的任何系统都落入本文所述实施例的范围内。所述方法开始于操作325,其中将部件暴露于生长工艺等离子体,使得在处理腔室部件的表面上形成残留物215。图2A示出例如在操作325发生之后的喷头105。
在操作330处,将残留物215暴露于第一工艺等离子体。根据一个实施例,在操作330的开始处,第一工艺气体流过喷头105的多个孔隙201,同时处理腔室部件定位在处理腔室部件的操作位置中,并且因此尚未从处理腔室101移除。根据一个实施例,第一工艺等离子体包含了含氮气体和含氧气体。根据一个实施例,含氮气体可包含氮气(N2)或氨气(NH3),并且含氧气体可包含氧气(O2)或水(H2O)。根据一个实施例,含氮气体和含氧气体可进一步包含载气,所述载气可包括氦(He)或氩(Ar)。载气有助于将工艺环境维持在期望的压力下。可通过使用RF功率源160来将含氮气体和含氧气体激发成等离子体,这产生诸如N2 +、NH2 +、NH+、O+、O2 +、或OH-之类的离子或诸如NH、O、或OH之类的自由基。离子和自由基是反应性物质,并且使残留物215经历化学反应。RF功率源106通过电磁反应吸引离子,从而将所述离子拉向喷头105并帮助穿透残留物215,从而与残留物的整个容积化学反应。
图2C示出了根据一个实施例的在操作330发生之后的喷头105。第一工艺等离子体与残留物215化学反应,产生离开喷头105的挥发性物质。根据一个实施例,将处理腔室101加热至第一温度,并且因此将处理腔室部件加热至第一温度。第一温度可从约150℃至约650℃变化。增加的温度增加了化学反应的速率。处理腔室101的压力可从约1托至约20托变化。含氧气体与含氮气体之间的流速的比例可在约3至约50之间。根据特定的处理腔室部件、残留物215的化学成分、和处理腔室101的大小,可改变流速、流动时间、和含氧气体对含氮气体的比例以优化用于清洁处理腔室部件所需的时间。操作330比分开的操作310、320更高效,因为操作330为同时执行,并且因此在单个操作中移除残留物215,从而增加了产量。
在操作330的一个示例中,处理腔室部件中的一个或更多个处理腔室部件的第一温度维持在约20℃至约650℃,腔室的压力维持在约1托至约10托,以一定RF频率将约800W至约5000W的RF功率施加至处理腔室部件,提供含氮气体和含氧气体达约1秒至约20分钟。在一个示例中,处理腔室部件为静电卡盘102、喷头105、出口110、开口113、基座115或保持环152。在一个示例中,含氮气体包含以约100sccm至约15000sccm的流速提供的N2。在一些配置中,同时以约100sccm至约15000sccm的流速提供载气,诸如Ar。在此示例中,含氧气体包含以约100sccm至约15000sccm的O2流速提供的O2
在操作330的另一示例中,处理腔室部件(诸如静电卡盘102或喷头105)的第一温度维持在约100℃至约650℃,腔室的压力维持在约4托至约10托,以约13.56MHz的RF频率将约800W至约2500W的RF功率施加至处理腔室部件,同时提供工艺气体达约50秒与约60秒之间。在一个示例中,工艺气体包含分别以约800sccm和约14000sccm的流速提供的N2和O2。在一些实施例中,喷头105维持在约100℃至约300℃的温度,并且静电卡盘102维持在约400℃至约650℃的温度。
在操作330的另一示例中,静电卡盘102的第一温度维持在约400℃至约650℃,腔室的压力维持在约4托至约6托,以约13.56MHz的RF频率将约1500W至约2000W的RF功率施加至处理腔室部件,以约800sccm的N2流速、约100sccm的Ar流速来提供包括Ar和N2的含氮气体,以约14000sccm的O2流速来提供包括O2的含氧气体达约50秒。
在操作330的另一示例中,喷头105的第一温度维持在约100℃至约300℃,腔室的压力维持在约4托至约6托,以约13.56MHz的RF频率将约1500W至约2000W的RF功率施加至处理腔室部件,同时提供包含Ar、O2、和N2的工艺气体约50秒至约90秒。在一个示例中,N2的流速设置为约800sccm,Ar的流速设置为约100sccm,以约14000sccm的O2流速来提供包括O2的含氧气体。
在一些实施例中,处理腔室部件包括Al,并且清洁方法300导致在部件的表面上形成保护性AlxNy薄膜。AlxNy薄膜比包括Al、C和O的残留物215更热稳定。因此,AlxNy薄膜防止在处理条件期间形成残留物215。
部件中的残留物215暴露于包含了含氮气体的第一工艺等离子体107,第一工艺等离子体107与处理腔室部件的表面和残留物化学反应以产生改性残留物220和处理腔室部件的表面。改性残留物220暴露于含有含氧气体的第二工艺等离子体107,第二工艺等离子体107与改性残留物化学反应。第一工艺等离子体和第二工艺等离子体的组合从部件移除改性残留物220。所述工艺对于但不限于产生包含Al、N和O的挥发性物质特别有效。
相比于现有技术中的方法,含氮气体和含氧气体的组合提供了更快且更彻底的清洁,从而增加了产量。另外,所述方法在不从腔室101中的操作位置移除部件的情况下运作,从而降低了拆卸腔室的成本和时间。而且,AlxNy薄膜的形成防止了在正常处理条件期间形成残留物215。
尽管前述内容针对本发明的实现,在不脱离本发明的基本范围的情况下,可设计本发明的其他和进一步的实现,并且本发明的范围由所附权利要求来确定。

Claims (14)

1.一种从处理腔室部件移除残留物的方法,包括:
在设置在处理腔室的处理区域内的所述处理腔室部件的表面上形成残留物;以及
将在所述处理腔室部件的所述表面上形成的所述残留物暴露于第一工艺等离子体,同时将所述处理腔室部件的所述表面设置在所述处理区域内并加热至第一温度,其中:
所述第一工艺等离子体包括含氮气体和含氧气体;以及
通过射频(RF)偏压所述处理腔室部件来形成所述第一工艺等离子体。
2.如权利要求1所述的方法,其中所述处理腔室部件包括喷头,所述喷头包括多个孔隙,其中所述喷头包括铝,并且在将所述喷头暴露于所述第一工艺等离子体之后,所述孔隙的所述表面包括薄膜,所述薄膜包括铝(Al)和氮(N)。
3.如权利要求2所述的方法,其中所述多个孔隙包括内通道、倾斜部分、和外通道,其中所述倾斜部分流体地连接所述内通道和所述外通道,并且所述残留物设置在所述多个孔隙中的至少一个孔隙的所述倾斜部分上。
4.如权利要求2所述的方法,其中施加至所述喷头的所述RF偏压包含施加约800W与约2500W之间的RF功率。
5.如权利要求2所述的方法,其中所述残留物包括碳(C)和氧(O)。
6.如权利要求5所述的方法,其中所述将所述残留物暴露于所述第一工艺等离子体使所述残留物经历化学反应,使得在所述将所述残留物暴露于所述第一工艺等离子体之后,所述残留物包括比碳(C)更高百分比的氮(N)。
7.一种从处理腔室部件移除残留物的方法,包括:
将设置在处理腔室的处理区域中的处理腔室部件上形成的残留物暴露于第一工艺等离子体,其中所述第一工艺等离子体包括含氮气体,同时所述处理腔室部件被加热至第一温度;以及
将所述残留物暴露于第二工艺等离子体,同时所述处理腔室部件设置在所述处理区域中,其中所述第二工艺等离子体包括含氧气体,同时所述处理腔室部件被加热至第二温度。
8.如权利要求7所述的方法,其中所述处理腔室部件包括喷头,所述喷头包括铝(Al),并且在将所述喷头暴露于所述第一工艺等离子体和所述第二工艺等离子体之后,所述孔隙的所述表面包括薄膜,所述薄膜包括铝(Al)和氮(N)。
9.如权利要求8所述的方法,其中所述多个孔隙具有倾斜部分。
10.如权利要求9所述的方法,其中所述多个孔隙包括内通道、倾斜部分、和外通道,其中所述倾斜部分流体地连接所述内通道和所述外通道,并且所述形成的残留物设置在所述多个孔隙中的至少一个孔隙的所述倾斜部分上。
11.如权利要求8所述的方法,其中射频(RF)偏压被施加至所述处理腔室部件。
12.如权利要求8所述的方法,其中所述残留物包括碳(C)和氧(O)。
13.如权利要求12所述的方法,其中所述将所述残留物暴露于所述第一工艺等离子体使所述残留物经历化学反应,使得在所述将所述残留物暴露于所述第一工艺等离子体之后,所述残留物包括比碳(C)更高百分比的氮(N)。
14.如权利要求7所述的方法,其中所述第一温度和所述第二温度基本上相等。
CN201980068601.7A 2018-11-06 2019-10-07 处理腔室部件的清洁方法 Pending CN112930580A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/182,407 US20200140999A1 (en) 2018-11-06 2018-11-06 Process chamber component cleaning method
US16/182,407 2018-11-06
PCT/US2019/055019 WO2020096720A1 (en) 2018-11-06 2019-10-07 Process chamber component cleaning method

Publications (1)

Publication Number Publication Date
CN112930580A true CN112930580A (zh) 2021-06-08

Family

ID=70460068

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980068601.7A Pending CN112930580A (zh) 2018-11-06 2019-10-07 处理腔室部件的清洁方法

Country Status (7)

Country Link
US (1) US20200140999A1 (zh)
JP (1) JP2022506454A (zh)
KR (1) KR20210072121A (zh)
CN (1) CN112930580A (zh)
SG (1) SG11202103554TA (zh)
TW (1) TW202022157A (zh)
WO (1) WO2020096720A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200411337A1 (en) * 2019-06-27 2020-12-31 Semes Co., Ltd. Substrate treating method and substrate treating apparatus

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI779395B (zh) * 2020-11-16 2022-10-01 友威科技股份有限公司 利用電漿蝕刻去除晶圓缺陷的重工處理設備

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6060397A (en) * 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US9896326B2 (en) * 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
SG11201808603VA (en) * 2016-03-31 2018-10-30 Tokyo Electron Ltd Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200411337A1 (en) * 2019-06-27 2020-12-31 Semes Co., Ltd. Substrate treating method and substrate treating apparatus

Also Published As

Publication number Publication date
JP2022506454A (ja) 2022-01-17
WO2020096720A1 (en) 2020-05-14
SG11202103554TA (en) 2021-05-28
US20200140999A1 (en) 2020-05-07
TW202022157A (zh) 2020-06-16
KR20210072121A (ko) 2021-06-16

Similar Documents

Publication Publication Date Title
JP6737899B2 (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
KR101087925B1 (ko) 기판 표면을 세정하기 위한 방법 및 장치
US8748322B1 (en) Silicon oxide recess etch
JP5925802B2 (ja) 2段階での均一なドライエッチング
JP6009520B2 (ja) シリコン含有膜の平滑SiConiエッチング
US8475674B2 (en) High-temperature selective dry etch having reduced post-etch solid residue
JP6366454B2 (ja) 被処理体を処理する方法
CN110735181A (zh) 于外延生长之前预清洁基板表面的方法和设备
KR102562226B1 (ko) 원자 층 제어를 사용한 막의 등방성 에칭
TWI766866B (zh) 蝕刻方法
KR102007019B1 (ko) 플라즈마 처리에 의한 불화 알루미늄 경감
JP2023524253A (ja) ハードマスクの選択性改善のための不活性ガス注入
CN112930580A (zh) 处理腔室部件的清洁方法
KR20210116679A (ko) 프로세스 챔버를 세정하기 위한 방법
JP6920309B2 (ja) エッチングハードウェアに対する水素プラズマベース洗浄処理
WO2019143608A1 (en) Selective processing with etch residue-based inhibitors
JP2020520116A (ja) 基板及びチャンバ部品上への金属ケイ素化合物層の堆積

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20210608

WD01 Invention patent application deemed withdrawn after publication