KR20210072121A - 프로세스 챔버 컴포넌트 세정 방법 - Google Patents

프로세스 챔버 컴포넌트 세정 방법 Download PDF

Info

Publication number
KR20210072121A
KR20210072121A KR1020217016932A KR20217016932A KR20210072121A KR 20210072121 A KR20210072121 A KR 20210072121A KR 1020217016932 A KR1020217016932 A KR 1020217016932A KR 20217016932 A KR20217016932 A KR 20217016932A KR 20210072121 A KR20210072121 A KR 20210072121A
Authority
KR
South Korea
Prior art keywords
residue
processing chamber
chamber component
processing
showerhead
Prior art date
Application number
KR1020217016932A
Other languages
English (en)
Inventor
병석 권
프라샨트 쿠마르 쿨쉬레쉬타
광덕 더글라스 리
사라 보베크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210072121A publication Critical patent/KR20210072121A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 프로세싱 챔버의 컴포넌트를 세정하는 방법이 제공된다. 방법은, 질소 함유 가스 및 산소 함유 가스를 함유하는 프로세스 플라즈마에 컴포넌트의 잔류물을 노출시키는 단계를 포함한다. 컴포넌트의 잔류물이 화학 반응을 겪어서, 컴포넌트가 세정된다. 컴포넌트가 세정되어서, 프로세스 화학 물질이 흐르기 전의 조건들로 컴포넌트가 회복된다.

Description

프로세스 챔버 컴포넌트 세정 방법
[0001] 본 발명의 실시예들은 방법에 관한 것으로, 더욱 구체적으로는, 프로세싱 챔버에서 사용되는 컴포넌트를 세정하는 방법에 관한 것이다.
[0002] 세정 프로세스들은, 증착된 막(film)에 형성되는 결함들의 수 및 웨이퍼 상의 프로세스 안정성에 영향을 미치기 때문에, 반도체 제조에서 막 증착에 중요하다. 반도체 디바이스들이 더 높은 메모리 밀도들 그리고 이에 따라 더 두꺼운 다중-스택 구조(즉, 3D VNAND, 3D ReRAM, DRAM)를 필요로 하기 시작함에 따라, 웨이퍼 스루풋을 극적으로 증가시키기 위해 최단 시간(shortest amount of time) 내에 챔버를 완전히 세정하는 능력이 중요하다. 현재 세정 프로세스들 내에서, HAR(high aspect ratio) 적용 요건들을 충족시키기 위해 막 두께가 스케일링되기 때문에, 세정 시간이 마찬가지로, 증가될 필요가 있을 것이다.
[0003] 고온(> 600 ℃) 탄소 CVD(chemical vapor deposition) 프로세스들은, 통상적인 PECVD(plasma enhanced CVD) 탄소 프로세스(~ 480 ℃)에 비해 이들 막들의 높은 에칭 선택성(> 1.5x) 및 세정을 위한 그 화학적 단순성에 기인하여, 반도체 디바이스 제작용 하드마스크들을 생성하기 위한 가장 일반적인 기법들 중 하나이다. 생산 시 더 두꺼운 하드마스크들을 구현하기 위하여, 높은 스루풋이 필요하다. 하드마스크의 두께가 증가됨에 따라, 증착 시간 및 세정 시간 둘 모두도 또한 증가되어야 해서, 웨이퍼 스루풋은 감소된다.
[0004] 그러나, 현재 세정 방법들에 대한 하나의 결점은, 이러한 현재 세정 방법들은 현대 반도체 제조에 필요한 스루풋에서 프로세스 챔버 컴포넌트들을 세정하기에 충분히 효과적이지 않다는 점이다. 그 외에도, 세정 프로세스 동안 더 강한 플라즈마를 생성하기 위해 RF(radio frequency) 전력을 증가시키는 것은 프로세스 챔버 컴포넌트들 상에 원치 않는 잔류물의 증착을 초래한다. 또한, 프로세스 챔버로부터 프로세스 챔버 컴포넌트들의 제거를 필요로 하지 않는 세정 방법은 세정의 용이성을 증가시키며, 오퍼레이터를 위해 비용 및 정지 시간을 감소시킨다.
[0005] 그러므로, 오염된 반도체 챔버 컴포넌트들을 위한 더욱 효과적인 세정 방법이 필요하다.
[0006] 일 실시예에서, 프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법이 제공되며, 방법은, 프로세스 챔버의 프로세싱 구역 내에 배치된 프로세싱 챔버 컴포넌트의 표면 상에 잔류물을 형성하는 단계, 및 프로세싱 챔버 컴포넌트의 표면이 프로세싱 구역 내에 배치되어 제1 온도로 가열되는 동안, 프로세싱 챔버 컴포넌트의 표면 상에 형성된 잔류물을 제1 프로세스 플라즈마에 노출시키는 단계를 포함한다. 제1 프로세스 플라즈마는 질소 함유 가스 및 산소 함유 가스를 포함한다. 제1 프로세스 플라즈마는 프로세스 챔버 컴포넌트를 RF(radio frequency) 바이어싱(biasing)함으로써 형성된다.
[0007] 다른 실시예에서, 프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법이 제공되며, 방법은, 프로세스 챔버의 프로세싱 구역에 배치된 프로세싱 챔버 컴포넌트가 제1 온도로 가열되는 동안, 이러한 프로세싱 챔버 컴포넌트 상에 형성된 잔류물을 제1 프로세스 플라즈마에 노출시키는 단계, 및 프로세싱 챔버 컴포넌트가 프로세싱 구역에 배치되어 있는 동안, 그리고 프로세싱 챔버 컴포넌트가 제2 온도로 가열되는 동안, 제2 프로세스 플라즈마에 잔류물을 노출시키는 단계를 포함한다. 제1 프로세스 플라즈마는 질소 함유 가스를 포함한다. 제2 프로세스 플라즈마는 산소 함유 가스를 포함한다.
[0008] 일부 실시예들에서, 질소 함유 플라즈마와 산소 함유 플라즈마의 조합은 반도체 시스템의 프로세스 챔버 컴포넌트의 표면의 더욱 철저한 세정을 제공한다. 더욱 철저한 세정은 더 빠른 세정을 가능하게 하며, 통상적인 화학 물질(chemistry)들보다 덜 빈번한 세정을 필요로 한다.
[0009] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 실시예들의 더욱 상세한 설명이 실시예들을 참조함으로써 이루어질 수 있으며, 이 실시예들 중 일부는 첨부된 도면들에서 예시된다. 그러나, 첨부된 도면들이 본 개시내용의 통상적인 실시예들만을 예시하며 이에 따라 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 동일하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 일 실시예에 따른, 기판 상에 재료들을 증착하도록 구성된 증착 챔버를 예시한다.
[0011] 도 2a는 일 실시예에 따른, 샤워헤드의 표면 상에 형성된 잔류물을 포함하는, 샤워헤드의 일부분을 개략적으로 예시한다.
[0012] 도 2b는 일 실시예에 따른, 샤워헤드의 표면 상에 배치된 반응된 잔류물을 갖는, 샤워헤드의 일부분을 개략적으로 예시한다.
[0013] 도 2c는 일 실시예에 따른, 세정이 수행된 후의 샤워헤드의 일부분을 개략적으로 예시한다.
[0014] 도 3a는 일 실시예에 따른, 컴포넌트를 세정하기 위한 동작들을 예시하는 프로세스 흐름도이다.
[0015] 도 3b는 일 실시예에 따른, 컴포넌트를 세정하기 위한 동작들을 예시하는 프로세스 흐름도이다.
[0016] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 언급 없이 다른 실시예들에 유익하게 통합될 수 있다는 것이 고려된다.
[0017] 본원에서 제공되는 개시내용의 실시예들은, 안정적인 프로세싱 환경 및 프로세스 챔버의 적절한 기능을 보장하기 위하여, 상부에 형성된 잔류물을 포함하는 하나 이상의 프로세스 챔버 컴포넌트들을 세정하는 프로세스를 포함한다. 일부 실시예들에서, 세정 프로세스는 반도체 컴포넌트 상에 형성된 잔류물을 프로세스 플라즈마에 노출시키는 것을 포함하며, 이는 잔류물이, 증착된 잔류물의 특성을 변경시키는 화학 반응을 겪게 한다. 일부 실시예들에서, 잔류물은 제2 프로세스 플라즈마에 배치된 성분들과 추가로 반응하며, 이는 프로세스 챔버 컴포넌트로부터 잔류물을 제거한다. 일부 실시예들에서, 프로세스 챔버 컴포넌트는 샤워헤드이고, 세정 프로세스 가스들은, 증착 화학 물질(예컨대, 증착 전구체)이 샤워헤드의 애퍼처들을 통해 유동되는 것과 동일한 방식으로 샤워헤드의 애퍼처들을 통해 유동된다. 본원에서 제공되는 개시내용의 실시예들은, 반도체 프로세스 챔버의 프로세싱 구역 내에 배치된 컴포넌트를 세정하는 데 특히 유용할 수 있지만, 이에 제한되지 않는다.
[0018] 도 1은 일 실시예에 따른 프로세싱 챔버 조립체(100)를 예시한다. 도시된 바와 같이, 프로세싱 챔버 조립체(100)는 프로세싱 챔버(101), 주입 시스템(150) 및 바이어스 전력 시스템(151)을 포함한다. 조립체(100)는, 전자 디바이스들을 제조하기 위한 에칭기(etcher), 세정기, 노(furnace) 또는 임의의 다른 시스템과 같이(그러나, 이에 제한되지 않음), 당업계에 알려진 임의의 타입의 고성능 반도체 프로세싱 챔버이다. 일 실시예에 따르면, 프로세싱 챔버 조립체(100)는 캘리포니아주 산타 클라라에 위치된 Applied Materials, Inc.에 의해 제조되는 시스템들 중 하나이다. 프로세싱 챔버(101)는 기판(103) 상의 하드마스크 층과 같은 층의 성장을 위한 챔버를 제공한다. 주입 시스템(150)은 기판(103) 표면 상의 재료의 성장을 가능하게 하기 위해 프로세스 가스 또는 프로세스 플라즈마를 제공한다. 일 실시예에 따르면, 바이어스 전력 시스템(151)은 기판(103)의 표면 위의 박막 또는 하드마스크의 성장을 가능하게 하기 위해 기판에 바이어스 전력을 제공한다. 프로세싱 챔버 조립체(100)의 컴포넌트들은, 제공된 기판(103) 상에 재료를 성장시키기 위해 함께 작동한다.
[0019] 도시된 바와 같이, 프로세싱 챔버(101)는 기판(103), 정전 척(ESC; electrostatic chuck)(102), 페데스탈(115), 배기 출구(110), 리테이닝 링(152) 및 개구(113)를 포함한다. 일부 실시예들에서, 기판(103)은 베어(bare) 실리콘 또는 게르마늄 웨이퍼이다. 다른 실시예에서, 기판(103)은 박막을 더 포함한다. 기판(103)은 전자 디바이스 제조 분야의 당업자에게 알려진 포토마스크, 반도체 웨이퍼 또는 다른 워크피스일 수 있다. 일부 실시예들에 따르면, 기판(103)은 집적 회로들, 수동 마이크로전자 디바이스(예컨대, 커패시터들, 인덕터들) 및 능동 마이크로전자 디바이스(예컨대, 트랜지스터들, 광 검출기들, 레이저들, 다이오드들) 중 임의의 것을 만들기 위한 임의의 재료를 포함한다. 일 실시예에 따르면, 기판(103)은, 전도 층 또는 층들로부터, 이러한 전도 층 또는 층들의 최상부에 형성되어 있는 그러한 능동 및 수동 마이크로전자 디바이스들을 분리하는 절연(예컨대, 유전체) 재료들을 포함한다. 일 실시예에서, 기판(103)은 하나 이상의 유전체 층들, 예컨대, 실리콘 디옥사이드, 실리콘 나이트라이드, 사파이어 및 다른 유전체 재료들을 포함하는 반도체 기판이다. 일 실시예에서, 기판(103)은 하나 이상의 층들을 포함하는 웨이퍼 스택이다. 기판(103)의 하나 이상의 층들은 전도, 반도체, 절연 또는 이들의 임의의 조합의 층들을 포함할 수 있다. 일 실시예에 따르면, 하드마스크 층이 기판(103) 상에서 성장된다. 일 실시예에 따르면, 하드마스크 층은 탄소(C) 탄소 함유 재료를 포함한다. 일 예에서, 하드마스크 층은 비정질 탄소 층을 포함한다.
[0020] 일 실시예에 따르면, 기판(103)은 정전 척(102) 상에 배치된다. 일 실시예에 따르면, 기판(103)은 리테이닝 링(152)에 의해 정전 척(102) 상에서 제자리에 홀딩되거나 또는 정전 척(102)에 대해 정렬된다. 일부 실시예들에서, 정전 척(102)의 온도는 가열 및 냉각 엘리먼트들의 사용에 의해 약 20 ℃ 내지 약 650 ℃의 범위에서 제어될 수 있다. 일부 실시예들에서, 기판(103)은, 기판의 온도를 능동적으로 제어하기 위해 프로세싱 동안 정전 척(102)의 기판 지지 표면에 "척킹"된다. 일 실시예에 따르면, 정전 척(102)은 페데스탈(115) 위에 배치된다. 페데스탈(115)은, 페데스탈 내에 내장된 저항성 히터와 같은 가열 엘리먼트(도시되지 않음)에 의해, 또는 일반적으로 페데스탈(115) 또는 이러한 페데스탈(115) 상에 있을 경우 기판(103)으로 겨냥된 램프(도시되지 않음)에 의해 그런 식으로 가열될 수 있다. 그러한 열 제어를 사용하여, 기판(103)은 약 20 ℃ 내지 약 650 ℃의 온도로 유지될 수 있다. 일부 실시예들에서, 리테이닝 링(152) 및 다른 유사한 포지셔닝된 챔버 컴포넌트들은 알루미늄(Al) 함유 재료, 스테인리스 강 합금 또는 세라믹 재료, 이를테면, 알루미늄 합금(예컨대, 1000 시리즈 Al, 6000 시리즈 Al, 4000 시리즈 Al), 오스테나이트계 스테인리스 강(예컨대, 304 SST, 316 SST), 실리콘 재료 또는 알루미나, 석영 또는 알루미늄 나이트라이드(AlN)로 형성된다. 일부 대안적인 실시예들에서, 정전 척(102)은 알루미늄 나이트라이드(AlN), 보론 카바이드(BC) 또는 보론 나이트라이드(BN)와 같은 세라믹 재료로 형성된다.
[0021] 기판(103)은 개구(113)를 통해 로딩되고, 정전 척(102) 상에 배치된다. 프로세싱 챔버(101)는 배기 출구(110)를 통해 진공배기된다. 일 실시예에 따르면, 배기 출구(110)는, 프로세싱 챔버(101) 내의 프로세싱 동안 생성된 휘발성 생성물들을 진공배기하기 위해 진공 펌프 시스템(도시되지 않음)에 연결된다. 프로세싱 챔버(101)의 컴포넌트들은, 제공된 기판(103) 상의 막 성장용 위치를 제공하기 위해 함께 작동한다.
[0022] 도시된 바와 같이, 바이어스 전력 시스템(151)은 DC(direct current) ESC(electrostatic chuck) 전력 공급부(104) 및 RF(radio frequency) 소스 전력(116)을 포함한다. RF 소스 전력(116)은 일반적으로, 2 내지 160 MHz 범위의 조정가능한 주파수 ―13.56 또는 2 MHz가 통상적인 동작 주파수임―, 및 약 1 kW 내지 약 5 kW의 전력을 갖는 RF 신호를 생성할 수 있다. 일부 실시예들에서, RF 소스 전력(116)에 커플링된 전극이 정전 척(102) 내에 배치된다. 일 실시예에 따르면, DC ESC(electrostatic chuck) 전력 공급부(104)는 페데스탈(115) 내에 배치된 척킹 전극(도시되지 않음)에 연결된다. 바이어스 전력 시스템(151)은 증착된 막의 처리를 가능하게 하기 위해 기판(103)에 걸쳐 바이어스 전압을 제공한다.
[0023] 도시된 바와 같이, 주입 시스템(150)은 샤워헤드(105), RF 전력원(106) 및 질량 유동 제어기(109)를 포함한다. 하나 이상의 프로세스 가스들(111), 이를테면, 프로세스 가스들(111A, 111B)은 하나 이상의 질량 유동 제어기들(109)(예컨대, 질량 유동 제어기들(109A, 109B))을 통해 챔버(101)에 공급된다. 일 실시예에 따르면, 프로세스 가스(111)는 프로세싱 챔버(101)의 프로세싱 구역(121) 내에 배치된 또는 이러한 프로세싱 구역(121) 내에 형성된 박막을 프로세싱하기 위해 사용되는 가스이다. 일부 실시예들에서, 프로세싱 챔버(101)의 프로세싱 구역(121) 내에 배치된 또는 이러한 프로세싱 구역(121) 내에 형성된 박막은 플라즈마 강화 CVD 프로세스의 사용에 의해 형성되는 비정질 탄소 층이다. 하나 이상의 프로세스 가스들(111A, 111B)은, 각각, 아래(도 3a 및 도 3b)에서 설명되는 바와 같이 컴포넌트를 세정하기 위해 사용되는 제1 프로세스 가스 및/또는 제2 프로세스 가스를 포함할 수 있다. 질량 유동 제어기(109)는, 시스템에 의해 수행되는 특정 레시피 또는 애플리케이션에 따라, 샤워헤드(105)를 통해 그리고 샤워헤드(105)에 전달되는 프로세스 가스(111)의 유량을 제어한다. RF 전력원(106)은 일반적으로, 2 내지 160 MHz 범위의 조정가능한 주파수 ―이를테면, 13.56 MHz 또는 2 MHz가 통상적인 동작 주파수임―, 및 약 500 W 내지 약 5 kW의 전력을 갖는 RF 신호를 생성할 수 있다.
[0024] 특정 레시피 또는 애플리케이션은 특정 온도, 타이밍 및 프로세스 가스 단계들을 제공하는 중앙 제어기(190)에 의하여 제어된다. 제어기(190)는 CPU(central processing unit)(192), 메모리(194) 및 지원 회로들(196), 예컨대, 입력/출력 회로소자, 전력 공급부들, 클록 회로들, 캐시 등을 포함할 수 있다. 메모리(194)는 CPU(192)에 연결된다. 메모리는 비-일시적 계산가능 판독가능 매체이며, RAM(random access memory), ROM(read only memory), 플로피 디스크, 하드 디스크 또는 다른 형태의 디지털 스토리지와 같은 하나 이상의 용이하게 이용가능한 메모리일 수 있다. 그 외에도, 단일 컴퓨터로서 예시되었지만, 제어기(190)는 예컨대 다수의 독립적으로 동작하는 프로세서들 및 메모리들을 포함하는 분산 시스템일 수 있다. 이 아키텍처는 프로세스 가스들의 순서 및 유동을 제어하기 위해 제어기(190)의 프로그래밍에 기반한 다양한 레시피들에 적응가능하다. 컴퓨터-판독가능 저장 매체는 컴퓨터 판독가능 명령들을 포함하는 비-휘발성 메모리를 포함할 것이며, 따라서 컴퓨터 판독가능 명령들이 프로세서(예컨대, CPU(192))에 의해 실행될 때, 프로세서는 본원에서 설명되는 프로세싱 방법들 중 하나 이상의 구현과 같이 컴퓨터 구현 방법이 수행되게 할 것이다.
[0025] RF 전력원(106)으로부터 인가된 플라즈마 전력이 챔버(101)의 일부분에 적용될 때, 플라즈마(107)가 기판(103)의 표면 위의 프로세싱 구역(121)에 형성된다. 일부 실시예들에서, RF 전력원(106)은 샤워헤드(105)에 커플링되고, 샤워헤드(105)는 플라즈마를 기판(103)으로 확산시킨다. 일 실시예에 따르면, 샤워헤드(105)는 알루미늄(Al) 함유 재료를 포함한다. 일 예에서, 샤워헤드는 6061 합금과 같은 알루미늄 합금을 포함한다.
[0026] 기판(103) 상의 하드마스크 층 또는 다른 막들의 증착과 같이 프로세싱 챔버(101)의 정상적인 사용 동안, 원치 않는 잔류물(215)이 프로세싱 챔버의 다양한 컴포넌트들 상에 형성된다. 잔류물(215)은 적어도 탄소(C) 및 산소(O)를 포함할 수 있다. 잔류물이 형성되는 컴포넌트는 샤워헤드(105), 페데스탈(115), 정전 척(102), 프로세싱 챔버(101)의 벽들(131) 등의 표면일 수 있다. 일반적으로, 잔류물(215)은 컴포넌트의 적절한 기능을 간섭한다. 예컨대, 잔류물(215)은 입자들로서 컴포넌트에서 벗겨져서(flake off) 기판(103)으로 떨어질 수 있으며, 이는 결과적인 형성된 디바이스의 적절한 기능을 방해한다. 잔류물(215)은 또한, 샤워헤드(105)(도 2a)의 애퍼처들(201)에 형성될 수 있으며, 이는 프로세스 가스 유동을 감소시키거나 또는 막는다. 예컨대, 컴포넌트가 샤워헤드(105)(도 2a)이면, 잔류물(215)은 프로세싱 구역(121) 내로의 하나 이상의 프로세스 가스들(111)의 유동을 지연시켜서, 프로세스 가스들(111)의 유량을 느리게 하고 증착 시간을 증가시킬 수 있다.
[0027] 잔류물(215)은 챔버 컴포넌트의 표면에 걸쳐 평균 및 국부 복사율(emissivity)을 변화시키고, 이는 챔버(101) 내의 컴포넌트들 사이의 평균 및 국부 복사 열 전달을 간섭하며, 이는 시간이 지남에 따라 프로세싱 환경의 열적 특성들이 표류하게 하여서, 하나의 프로세싱된 기판(103)으로부터 다른 프로세싱된 기판으로 고르지 않은 프로세스 결과들을 초래한다. 잔류물(215)은 또한, 동작 동안 옮겨져(dislodged) 아래의 기판(103) 상으로 떨어져서, 기판 상에 증착되고 있는 층에 결함(imperfection)들을 유발할 수 있다. 그 외에도, 잔류물(215)은 애퍼처들(201)의 전부 또는 일부분을 막아서, 이들 애퍼처들을 통과하는 프로세스 가스들(111)의 유동을 심각하게 감소시키거나 또는 완전히 차단할 수 있으며, 이는 하드마스크의 성장 동안 기판(103)의 표면 상에 증착 두께 불균일성을 유발할 수 있다. 잔류물(215)의 영향을 받는 프로세스 챔버 컴포넌트가 페데스탈(115)이면, 잔류물(215)은 기판의 후면 표면과 페데스탈의 표면 사이에 형성되는 마찰의 감소를 유발하여서, 기판의 배치 또는 프로세싱 동안 기판이 정전 척(102) 상에서 미끄러지게 할 수 있다. 기판 미끄러짐은 기판(103)이 페데스탈(115)의 정전 척(102)의 표면 상에 부정확하게 포지셔닝되는 것으로 이어져서, 웨이퍼 칩핑(chipping), 정전 척(102)의 원치 않는 부분들 상의 증착, 그리고 다른 유사한 하드웨어 손상으로 이어진다. 그 외에도, 페데스탈(115)의 리프팅 컴포넌트들 상에 잔류물(215)을 형성하는 것은 페데스탈이 특정 포지션에 달라 붙게 하여서, 기판(103) 표면 상의 적절한 증착을 간섭한다. 잔류물(215)이 리테이닝 링(152) 상에 형성되면, 잔류물은 기판(103)의 적절한 포지셔닝을 방해할 수 있으며, 이는 기판 상의 증착 또는 패터닝 시 에러들을 유발한다. 잔류물(215)이 개구(113)에 형성되면, 챔버(101)에서 기판(103)의 제거 및 삽입이 영향을 받아서, 정전 척(102) 상의 기판의 적절한 포지셔닝을 방해할 수 있다. 잔류물(215)이 배기 출구(110)에 형성되면, 잔류물(215)은 사용된 프로세싱 가스들이 프로세싱 챔버(101)를 빠져 나가는 데 실패하게 하여서, 프로세싱 챔버(101)의 프로세싱 구역(121) 내에 원치 않는 휘발성 종(species)을 초래할 수 있다.
[0028] 도 3a는 일 실시예에 따른, 컴포넌트를 세정하기 위한 방법(300)을 포함하는 프로세스 흐름도이다. 방법 동작들이 도 2a-도 2c 및 도 3a와 함께 설명되지만, 당업자들은 임의의 순서로 프로세싱 동작들을 수행하도록 구성된 임의의 시스템이 본원에서 설명되는 실시예들의 범위 내에 있다는 것을 이해할 것이다. 방법은 동작(305)에서 시작되며, 여기서, 컴포넌트가 성장 프로세스 플라즈마에 노출되어서, 기판(103) 및 다양한 챔버 컴포넌트들 상에 잔류물(215)이 형성된다. 예컨대, 잔류물(215)은 챔버(101)의 벽들 상에, 샤워헤드(105)의 애퍼처들(201)에, 샤워헤드(105)의 페이스플레이트(120) 상에 또는 페데스탈(115)의 표면 상에 형성될 수 있다. 일부 실시예들에서, 비정질 탄소 층이 기판 상에 형성되고, 잔류물(215)이 플라즈마 강화 CVD 프로세스의 사용에 의해 챔버 컴포넌트들 중 하나 이상의 챔버 컴포넌트들 상에 형성된다. PECVD 비정질 탄소 층 형성 프로세스는 탄화수소 전구체, 이를테면, 프로펜(C3H6), 사이클로부탄(C4H8), 에틸렌(C2H4) 또는 유사한 전구체, 그리고 불활성 가스, 이를테면, 아르곤(Ar) 또는 헬륨(He)의 사용을 포함할 수 있다.
[0029] 도 2a는 동작(305)이 수행된 후의 샤워헤드(105)를 예시한다. 도시된 바와 같이, 샤워헤드(105)는 복수의 애퍼처들(201)을 포함한다. 애퍼처(201)는 내부 채널(205), 경사부(sloped portion)(206), 외부 채널(207) 및 출구(210)를 포함한다. 경사부(206)는 내부 채널(205)을 외부 채널(207)에 유체적으로(fluidly) 연결한다. 프로세스 가스(111)는, 내부 채널(205), 경사부(206) 및 외부 채널(207)을 통해, 프로세스 챔버(101) 내로 유동한다. 프로세싱 챔버(101)의 일 실시예에 따르면, 내부 채널(205)의 폭은 외부 채널(207)의 폭보다 더 작다. 프로세싱 챔버(101)의 다른 실시예에 따르면, 내부 채널(205)의 폭은 외부 채널(207)의 폭보다 더 크다. 프로세싱 챔버(101)의 또 다른 실시예에 따르면, 내부 채널(205)의 폭은 외부 채널(207)의 폭과 동일하고, 경사부(206)는 없다. 일 실시예에서, 잔류물(215)은 프로세스 플라즈마(107)에 의해 애퍼처들(201) 중 적어도 하나의 애퍼처의 면(side) 상에 형성된다. 일 예에서, 잔류물(215)은 애퍼처(201)의 경사부(206) 상에 형성된다. 잔류물(215)은 또한, 샤워헤드(105)의 페이스플레이트(120) 상에 형성될 수 있으며, 이는 잔류물이 포지셔닝되어 있는 페이스플레이트의 구역으로부터 방출되는 복사율(211)을 변화시킨다. 잔류물(215)은 또한, 내부 채널(205)의 입구에 형성될 수 있어서, 차단된 내부 채널을 통해 프로세스 가스 유동이 유동하는 것이 부분적으로 또는 완전히 차단된다. 일부 실시예들에서, 샤워헤드(105)는 알루미늄(Al) 재료, 이를테면, 알루미늄 합금(예컨대, 1000 시리즈 Al, 6000 시리즈 Al, 4000 시리즈 Al)으로 형성된다. 일부 대안적인 실시예들에서, 샤워헤드(105)는 실리콘 재료 또는 세라믹 재료, 이를테면, 석영, 사파이어, 알루미나 또는 보론 나이트라이드로 형성된다.
[0030] 동작(310)에서, 잔류물(215)은 제1 프로세스 플라즈마에 노출된다. 제1 프로세스 가스는, 샤워헤드(105)가 동작 포지션에 있는 동안 샤워헤드(105)의 복수의 애퍼처들(201)을 통해 유동되고, 이에 따라 프로세싱 챔버(101)로부터 제거되지 않았다. 일 실시예에 따르면, 제1 프로세스 플라즈마는 질소 함유 가스를 포함한다. 일 실시예에 따르면, 질소 함유 가스는 질소 가스(N2) 또는 암모니아(NH3)를 포함한다. 일 실시예에 따르면, 질소 함유 가스는 헬륨(He) 또는 아르곤(Ar)과 같은 중성 또는 캐리어 가스를 더 포함할 수 있다. 캐리어 가스는 프로세스 환경을 원하는 압력으로 유지하는 것을 돕는다. 질소 함유 가스는, RF 전력원(106)을 사용함으로써 플라즈마에 에너자이징될 수 있으며, 이는 N2 +, NH2 + 및 NH+와 같은 이온들 또는 NH와 같은 라디칼들을 생성한다. 이온들 및 라디칼들은 반응성 종이며, 반응성 종과 화학 반응을 겪는 잔류물(215)을 유발하고 그리고/또는 가속시킨다. RF 전력원(106)이 플라즈마에 형성된 이온들을 끌어들이는 바이어스를 생성하여서, 이러한 이온들을 샤워헤드(105)의 표면 쪽으로 끌어 당겨 이들이 잔류물(215)을 관통하도록 돕는다.
[0031] 도 2b는 일 실시예에 따른, 동작(310)의 적어도 일부분이 수행된 후의 샤워헤드(105)를 예시한다. 동작(310)이 수행된 후에, 잔류물(215)의 적어도 일부분은 변형된 잔류물(220)로 변화된다. 일 실시예에서, 잔류물이 제1 프로세스 플라즈마에 노출된 후에, 변형된 잔류물(220)은 탄소(C)보다 더 높은 비율(percentage)의 질소(N)를 포함한다. 프로세싱 동안, 프로세스 챔버(101)의 하나 이상의 부분들이 제1 온도로 가열될 수 있다. 일 예에서, 프로세싱 챔버 컴포넌트(예컨대, 샤워헤드(105))가 제1 온도로 가열된다. 제1 온도는 약 150 ℃ 내지 약 650 ℃에서 변화될 수 있다. 증가된 온도는 생성된 플라즈마에 대한 잔류물(215)의 노출에 의해 생성되는 화학 반응의 레이트를 증가시킨다. 프로세싱 챔버(101)의 압력은 약 1 Torr 내지 약 20 Torr에서 변화될 수 있다. 질소 함유 가스는 약 100 sccm 내지 15000 sccm으로 유동될 수 있다. 질소 함유 가스는 약 1 초 내지 약 20 분 동안 유동될 수 있다. 특정 프로세싱 챔버 컴포넌트, 잔류물(215)의 화학적 조성(composition) 및 프로세싱 챔버(101)의 크기에 따라, 프로세스 챔버 컴포넌트를 세정하는 데 필요한 시간을 최적화하기 위해 유량 및 유동 시간이 변화될 수 있다. 그 외에도, 유동 시간의 변화는 라디칼들 및 이온들에 의한 잔류물(215)의 더 깊은 관통을 가능하게 하여서, 잔류물(215)의 전체 깊이가 화학적으로 반응할 수 있게 한다.
[0032] 일부 실시예들에서, 동작(310) 동안 플라즈마에서 생성되는 이온들에게, 플라즈마 생성 이온들이 챔버 컴포넌트의 표면에 배치된 재료와 직접 상호작용하게 하기에 충분한 에너지(eV)가 제공되도록, RF 전력원(106)은 프로세스 챔버 컴포넌트들(예컨대, 샤워헤드(105), 리테이닝 링(152) 등) 중 하나 이상에 RF 바이어스를 인가하도록 구성된다. RF 전력은 약 800 W 내지 약 2500 W에서 변할 수 있다. 챔버 컴포넌트의 표면에 있는 재료와 반응성 종의 상호작용은 화학 반응이 발생하게 할 것이며, 이는 챔버 컴포넌트의 표면에 있는 재료의 화학적, 광학적 및/또는 기계적 특성들을 변형시킬 것이다. 일 예에서, RF 전력원(106)은, 형성된 플라즈마에서 생성되는 질소 함유 이온들이, 샤워헤드(105)의 노출된 표면들 상에 형성된 탄소 함유 잔류물(예컨대, 비정질 탄소, 다결정질 탄소)을 물리적으로 그리고/또는 화학적으로 변형시키게 하기 위해, 그리고 또한, 샤워헤드(105)의 표면 상에 배치된 알루미늄 재료를 물리적으로 그리고/또는 화학적으로 변형시키게 하기 위해, RF 바이어스를 샤워헤드(105)에 인가하도록 구성된다.
[0033] 프로세스 챔버 컴포넌트의 변형된 표면은, 프로세스 챔버 컴포넌트의 표면이 후속하여 제공되는 반응성 가스들에 의해 공격당하는 것을 방지함으로써, 프로세싱 챔버에서 프로세싱되는 후속 기판들에 대한 프로세스 결과들을 개선시키는 것, 그리고 프로세스 챔버 컴포넌트의 노출된 표면의 복사율을 안정시키는 것을 도울 수 있다. 일부 실시예들에서, 프로세스 챔버 컴포넌트는 Al, 알루미늄 합금 또는 다른 유사한 재료를 포함하고, 세정 동작(310)은 컴포넌트의 표면 상에 형성되는 보호 알루미늄 나이트라이드(AlxNy) 박막을 야기한다. AlxNy 박막은, 증착된 막 재료를 포함하는 잔류물(215)보다, 그리고 프로세스 챔버 컴포넌트의 표면, 이를테면, 샤워헤드(105)의 표면과 증착된 잔류물(215) 사이의 계면에 형성되는 Al, C 및 O를 포함할 화합물들보다 더욱 열적으로 안정적이다. 따라서, AlxNy 박막은 프로세싱 조건들 동안 잔류물(215)의 형성을 방지한다.
[0034] 동작(310)의 일 예에서, 질소를 포함하는 프로세스 가스들이 약 1 초 내지 약 20 분 동안 제공되는 동안, 프로세싱 챔버 컴포넌트들 중 하나 이상의 제1 온도는 약 150 ℃ 내지 약 650 ℃로 유지되고, 챔버의 압력은 약 1 Torr 내지 약 20 Torr로 유지되며, 약 800 W 내지 약 5000 W의 RF 전력이 RF 주파수에서 프로세싱 챔버 컴포넌트에 인가된다. 일 예에서, 프로세스 챔버 컴포넌트는 정전 척(102), 샤워헤드(105), 출구(110), 개구(113), 페데스탈(115) 또는 리테이닝 링(152)이다. 일 예에서, 프로세스 가스는, 약 100 sccm 내지 약 15000 sccm의 N2 유량 그리고 약 100 sccm 내지 약 15000 sccm의 Ar 유량으로 제공되는 2 개의 가스들을 포함할 수 있다.
[0035] 동작(310)의 다른 예에서, Ar 및 N2를 포함하는 질소 함유 가스가 약 10 초 내지 약 600 초 동안 제공될 수 있는 동안, 프로세싱 챔버 컴포넌트, 이를테면, 정전 척(102) 또는 샤워헤드(105)의 제1 온도는 약 100 ℃ 내지 약 650 ℃로 유지되고, 챔버의 압력은 약 4 Torr 내지 약 20 Torr로 유지되며, 약 800 W 내지 약 5000 W의 RF 전력이 약 13.56 MHz의 RF 주파수에서 프로세싱 챔버 컴포넌트에 인가된다. 이 예에서, Ar 및 N2를 포함하는 질소 함유 가스는 약 800 sccm의 N2 유량 그리고 약 100 sccm의 Ar 유량으로 제공될 수 있다. 일부 실시예들에서, 샤워헤드(105)는 약 100 ℃ 내지 약 300 ℃의 온도로 유지되고, 그리고/또는 정전 척(102)은 약 400 ℃ 내지 약 650 ℃의 온도로 유지된다.
[0036] 동작(310)의 다른 예에서, 약 10 초 내지 약 700 초 동안, N2를 포함하는 질소 함유 가스가 약 800 sccm의 N2 유량으로 제공되고 Ar과 같은 캐리어 가스가 약 100 sccm의 유량으로 제공되는 동안, 정전 척(102)의 제1 온도는 약 400 ℃ 내지 약 650 ℃로 유지되고, 챔버의 압력은 약 4 Torr 내지 약 6 Torr로 유지되며, 약 1000 W 내지 약 2500 W의 RF 전력이 약 13.56 MHz의 RF 주파수에서 프로세싱 챔버 컴포넌트에 인가된다.
[0037] 동작(310)의 다른 예에서, 약 90 초 동안, N2를 포함하는 질소 함유 가스가 약 800 sccm의 N2 유량으로 제공되고 Ar과 같은 캐리어 가스가 약 100 sccm의 유량으로 제공되는 동안, 리테이닝 링(152)의 제1 온도는 약 600 ℃ 내지 약 650 ℃로 유지되고, 챔버의 압력은 약 4 Torr로 유지되며, 약 1700 W의 RF 전력이 약 13.56 MHz의 RF 주파수에서 프로세싱 챔버 컴포넌트에 인가된다.
[0038] 동작(320)에서, 변형된 잔류물(220)은 제2 프로세스 플라즈마에 노출된다. 일 실시예에 따르면, 동작(320)의 시작 시, 제2 프로세스 가스는, 프로세스 챔버 컴포넌트가 자신의 동작 포지션에 포지셔닝되고 이에 따라 프로세싱 챔버(101)로부터 제거되지 않은 동안 샤워헤드(105)의 복수의 애퍼처들(201)을 통해 유동된다. 일 실시예에 따르면, 제2 프로세스 플라즈마는 산소 함유 가스를 포함한다. 일 실시예에 따르면, 산소 함유 가스는 산소 가스(O2) 또는 물(H2O)을 포함할 수 있다. 산소 함유 가스는 캐리어 가스를 더 포함할 수 있고, 이러한 캐리어 가스는 헬륨(He) 또는 아르곤(Ar)을 포함할 수 있다. 캐리어 가스는 프로세스 환경을 원하는 압력으로 유지하는 것을 돕는다. 산소 함유 가스는, RF 전력원(160)을 사용함으로써 플라즈마 내로 에너자이징될 수 있으며, 이는 O+, O2 + 또는 OH-와 같은 이온들, 또는 O 또는 OH와 같은 라디칼들을 생성한다. 이온들 및 라디칼들은 반응성 종이며, 변형된 잔류물(220)이 화학 반응을 겪게 한다. 도 2c는 일 실시예에 따른, 동작(330)이 발생한 후의 샤워헤드(105)를 예시한다. 제2 프로세스 플라즈마의 성분들은 변형된 잔류물(220)과 화학적으로 반응한다. 일 실시예에 따르면, 변형된 잔류물(220)은 제2 프로세스 플라즈마에 대한 노출에 의해 샤워헤드(105)로부터 적어도 부분적으로 제거된다. 일 실시예에 따르면, 변형된 잔류물(220)의 적어도 일부분이 휘발성이 되고 프로세싱 챔버(101)의 배기 출구(110)를 통해 제거된다. 일 실시예에서, 변형된 잔류물은 비정질 탄소 함유 잔류물이고, 이에 따라 휘발성 종은 예컨대 일산화탄소(CO) 및/또는 이산화탄소(CO2)를 포함할 수 있다.
[0039] 일부 실시예들에서, 프로세스 챔버(101)는 동작(320) 동안 제2 온도로 가열되고, 이에 따라 프로세싱 챔버 컴포넌트들 중 하나 이상이 제2 온도로 가열된다. 제2 온도는 약 150 ℃ 내지 약 650 ℃에서 변화될 수 있다. 증가된 온도는, 플라즈마 생성 종과 잔류물(215) 사이의 화학 반응의 레이트를 증가시키기 위해 사용된다. 일부 실시예들에서, 제2 온도는 제1 온도와 상이할 수 있다. 제1 온도와 제2 온도의 차이는, 제1 프로세스 가스와 제2 프로세스 가스가 화학 반응들의 최적의 레이트 및 원하는 화학 반응 생성물들을 제공하기 위해 상이한 온도들을 필요로 하는 경우들에 유용할 수 있다. 프로세싱 챔버(101)의 압력은 약 1 Torr 내지 약 20 Torr의 압력으로 유지될 수 있다. 산소 함유 가스는 약 100 sccm 내지 약 15000 sccm으로 유동될 수 있다. 산소 함유 가스는 약 1 초 내지 20 분 동안 유동될 수 있다. 산소 함유 가스는, 동작(320)에서 유동되는, 산소 함유 가스 대 질소 함유 가스의 비(ratio)가 약 3 대 1 내지 약 50 대 1이 되도록 하는 레이트로 유동될 수 있다. 특정 프로세싱 챔버 컴포넌트, 변형된 잔류물(220)의 화학적 조성 및 프로세싱 챔버(101)의 크기에 따라, 프로세스 챔버 컴포넌트를 세정하는 데 필요한 시간을 최적화하기 위해 유량, 유동 시간, 및 산소 함유 가스 대 질소 함유 가스비가 변화될 수 있다.
[0040] 동작(320)의 일 예에서, 산소를 포함하는 프로세스 가스가 약 10 초 내지 약 20 분 동안 제공되는 동안, 프로세싱 챔버 컴포넌트들 중 하나 이상의 제2 온도는 약 150 ℃ 내지 약 650 ℃로 유지되고, 챔버의 압력은 약 1 Torr 내지 약 10 Torr로 유지되며, 약 800 W 내지 약 2500 W의 RF 전력이 RF 주파수에서 프로세싱 챔버 컴포넌트에 인가된다. 일 예에서, 프로세스 챔버 컴포넌트는 정전 척(102), 샤워헤드(105), 출구(110), 개구(113), 페데스탈(115) 또는 리테이닝 링(152)이다. 일 예에서, 프로세스 가스는, 약 100 sccm 내지 약 15000 sccm의 유량의 Ar과 같은 캐리어 가스, 그리고 약 100 sccm 내지 약 15000 sccm의 O2 유량으로 제공될 수 있는 O2를 포함하는 산소 함유 가스를 포함할 수 있다.
[0041] 동작(320)의 일 예에서, 산소 함유 가스가 약 10 초 내지 약 80 초 동안 제공되는 동안, 프로세싱 챔버 컴포넌트, 이를테면, 정전 척(102) 또는 샤워헤드(105)의 제2 온도는 약 400 ℃ 내지 약 650 ℃로 유지되고, 챔버의 압력은 약 4 Torr 내지 약 10 Torr로 유지되며, 약 1500 W 내지 약 2300 W의 RF 전력이 약 13.56 MHz의 RF 주파수에서 프로세싱 챔버 컴포넌트에 인가된다. 일 예에서, 산소 함유 가스는, 약 14000 sccm의 O2 유량을 공급함으로써 제공되고, Ar과 같은 캐리어 가스는 약 100 sccm의 유량으로 제공된다.
[0042] 동작(320)의 일 예에서, 약 60 초 동안, O2를 포함하는 산소 함유 가스가 약 14000 sccm의 O2 유량으로 제공되고 Ar과 같은 캐리어 가스가 약 100 sccm의 유량으로 제공되는 동안, 정전 척(102)의 제2 온도는 약 600 ℃ 내지 약 650 ℃로 유지되고, 챔버의 압력은 약 4 Torr 내지 약 6 Torr로 유지되며, 약 1500 W 내지 약 2300 W의 RF 전력이 약 13.56 MHz의 RF 주파수에서 프로세싱 챔버 컴포넌트에 인가된다.
[0043] 제1 처리 동작(310)과 제2 처리 동작(320)은 컴포넌트의 세정을 계속하기 위하여 다수 번 순차적으로 반복될 수 있다. 프로세스 동작들을 반복하는 것은 각각의 패스(pass)에 따라 프로세스 챔버 컴포넌트의 세정을 증가시킬 수 있다고 여겨진다. 제1 처리 동작과 제2 처리 동작은 임의의 순서로 또는 동시에 수행될 수 있다. 예컨대, 제2 처리 동작(320)은 제1 처리 동작(310)이 수행되기 전에 수행될 수 있다. 컴포넌트를 세정하는 전체 프로세스는 잔류물로 오염된 원래 컴포넌트에 비해 컴포넌트의 더 나은 기능을 야기할 것이다. 프로세스 가스는, 방법(300)이 프로세싱 챔버 컴포넌트 자체의 원치 않는 에칭을 초래하지 않도록 선정된다.
[0044] 방법(300)의 일부 실시예들에서, 제1 처리 동작(310) 및 제2 처리 동작(320)의 적어도 일부분이 겹치고, 이에 따라 동시에 수행된다. 방법(300)의 겹치는 부분에서, 프로세스 챔버의 프로세싱 구역에서 발견되는 잔류물(215)은 산소 함유 가스 및 질소 함유 가스 둘 모두를 포함하는 플라즈마에 노출된다. 방법(300)의 일부 실시예들에서, 먼저, 제1 처리 동작(310)에서 발견된 프로세스 파라미터들을 사용하여 형성된 제1 프로세스 플라즈마에 잔류물(215)을 노출시키고, 그런 다음, 제1 처리 동작(310)에서 제공된 프로세스 가스와 제2 처리 동작(320)에서 제공된 프로세스 가스의 조합(예컨대, 질소 함유 가스와 산소 함유 가스의 혼합물)을 포함하는 제2 플라즈마를 형성하는 것이 바람직할 수 있다. 대안적으로, 방법(300)의 일부 실시예들에서, 먼저, 제2 처리 동작(320)에서 발견된 프로세스 파라미터들을 사용하여 형성된 제1 프로세스 플라즈마에 잔류물(215)을 노출시키고, 그런 다음, 제1 처리 동작(310)에서 제공된 프로세스 가스와 제2 처리 동작(320)에서 제공된 프로세스 가스의 조합(예컨대, 질소 함유 가스와 산소 함유 가스의 혼합물)을 포함하는 제2 플라즈마를 형성하는 것이 바람직할 수 있다. 제1 처리 동작(310)과 제2 처리 동작(320)이 동시에 수행되는 시간에 사용될 수 있는 프로세스 파라미터들의 예들이 방법(301)과 관련하여 발견되는 논의와 같이 아래에서 추가로 설명된다.
[0045] 방법(300)의 일부 실시예들에서, 제1 처리 동작(310)과 제2 처리 동작(320)을 동시에 수행하고, 그런 다음, 제1 처리 동작(310) 또는 제2 처리 동작(320)의 적어도 일부분을 수행함으로써 방법(300)을 종료하는 조합을 포함하는 프로세스 동작을 포함하는 것이 바람직하다. 방법(300)의 일부 실시예들에서, 제1 처리 동작(310) 또는 제2 처리 동작(320)의 적어도 일부분이 수행되고, 제1 처리 동작(310)과 제2 처리 동작(320)의 조합이 동시에 수행되며, 그런 다음, 제1 처리 동작(310) 또는 제2 처리 동작(320)의 적어도 일부분이 프로세스 챔버 내의 잔류물(215)에 대해 수행된다. 일 예에서, 잔류물(215)은 먼저, 제1 처리 동작(310)에서 발견된 프로세스 파라미터들(예컨대, 가스 조성, 프로세스 압력, RF 전력, 온도 등)을 사용하여 형성된 제1 프로세스 플라즈마에 노출되고, 그런 다음, 제2 세트의 프로세스 파라미터들(예컨대, 가스 조성, 프로세스 압력, RF 전력, 온도 등)을 갖는 제2 플라즈마가 형성되며 ―여기서, 제2 플라즈마는 제1 처리 동작(310) 및 제2 처리 동작(320)에서 제공된 프로세스 가스들의 조합을 포함함―, 그런 다음, 제1 처리 동작(310)에서 발견된 프로세스 파라미터들(예컨대, 가스 조성, 프로세스 압력, RF 전력, 온도 등)을 사용하여 제3 플라즈마가 형성된다.
대안적인 프로세스 예
[0046] 도 3b는 다른 실시예에 따른, 컴포넌트를 세정하기 위한 방법(301)의 흐름도이다. 방법(301)이 도 2a-도 2c 및 도 3b와 함께 설명되지만, 당업자들은 임의의 순서로 방법 동작들을 수행하도록 구성된 임의의 시스템이 본원에서 설명되는 실시예들의 범위 내에 있다는 것을 이해할 것이다. 방법은 동작(325)에서 시작되며, 여기서, 컴포넌트가 성장 프로세스 플라즈마에 노출되어서, 프로세스 챔버 컴포넌트의 표면 상에 잔류물(215)이 형성된다. 도 2a는 예컨대 동작(325)이 발생한 후의 샤워헤드(105)를 예시한다.
[0047] 동작(330)에서, 잔류물(215)은 제1 프로세스 플라즈마에 노출된다. 일 실시예에 따르면, 동작(330)의 시작 시, 제1 프로세스 가스는, 프로세스 챔버 컴포넌트가 자신의 동작 포지션에 포지셔닝되어 있는 동안 샤워헤드(105)의 복수의 애퍼처들(201)을 통해 유동되고 이에 따라 프로세싱 챔버(101)로부터 제거되지 않았다. 일 실시예에 따르면, 제1 프로세스 플라즈마는 질소 함유 가스 및 산소 함유 가스를 포함한다. 일 실시예에 따르면, 질소 함유 가스는 질소 가스(N2) 또는 암모니아(NH3)를 포함할 수 있고, 산소 함유 가스는 산소 가스(O2) 또는 물(H2O)을 포함할 수 있다. 일 실시예에 따르면, 질소 함유 가스 및 산소 함유 가스는 캐리어 가스를 더 포함할 수 있고, 이러한 캐리어 가스는 헬륨(He) 또는 아르곤(Ar)을 포함할 수 있다. 캐리어 가스는 프로세스 환경을 원하는 압력으로 유지하는 것을 돕는다. 질소 함유 가스 및 산소 함유 가스는, RF 전력원(160)을 사용함으로써 플라즈마 내로 에너자이징될 수 있으며, 이는 N2 +, NH2 +, NH+, O+, O2 + 또는 OH-와 같은 이온들, 또는 NH, O 또는 OH와 같은 라디칼들을 생성한다. 이온들 및 라디칼들은 반응성 종이며, 잔류물(215)이 화학 반응을 겪게 한다. RF 전력원(106)이 전자기 반응을 통해 이온들을 끌어들여서, 이러한 이온들을 샤워헤드(105) 쪽으로 끌어 당기고 잔류물(215)을 관통하여서 잔류물의 전체 볼륨과 화학적으로 반응하도록 돕는다.
[0048] 도 2c는 일 실시예에 따른, 동작(330)이 발생한 후의 샤워헤드(105)를 예시한다. 제1 프로세스 플라즈마가 잔류물(215)과 화학적으로 반응하여서, 샤워헤드(105)를 빠져 나가는 휘발성 종을 생성한다. 일 실시예에 따르면, 프로세스 챔버(101)는 제1 온도로 가열되고, 이에 따라 프로세싱 챔버 컴포넌트는 제1 온도로 가열된다. 제1 온도는 약 150 ℃ 내지 약 650 ℃에서 변화될 수 있다. 증가된 온도는 화학 반응의 레이트를 증가시킨다. 프로세싱 챔버(101)의 압력은 약 1 Torr 내지 약 20 Torr에서 변화될 수 있다. 산소 함유 가스와 질소 함유 가스 사이의 유량비(ratio of flow rate)는 약 3 내지 약 50일 수 있다. 특정 프로세싱 챔버 컴포넌트, 잔류물(215)의 화학적 조성 및 프로세싱 챔버(101)의 크기에 따라, 프로세스 챔버 컴포넌트를 세정하는 데 필요한 시간을 최적화하기 위해 유량, 유동 시간, 및 산소 함유 가스 대 질소 함유 가스비가 변화될 수 있다. 동작(330)은 별개의 동작들(310, 320)보다 더욱 효율적인데, 그 이유는 동작(330)이 동시에 수행되고 이에 따라 잔류물(215)이 단일 동작으로 제거되어서, 스루풋이 증가되기 때문이다.
[0049] 동작(330)의 일 예에서, 프로세싱 챔버 컴포넌트들 중 하나 이상의 제1 온도는 약 20 ℃ 내지 약 650 ℃로 유지되고, 챔버의 압력은 약 1 Torr 내지 약 10 Torr로 유지되고, 약 800 W 내지 약 5000 W의 RF 전력이 RF 주파수에서 프로세싱 챔버 컴포넌트에 인가되며, 질소 함유 가스 및 산소 함유 가스가 약 1 초 내지 약 20 분 동안 제공된다. 일 예에서, 프로세스 챔버 컴포넌트는 정전 척(102), 샤워헤드(105), 출구(110), 개구(113), 페데스탈(115) 또는 리테이닝 링(152)이다. 일 예에서, 질소 함유 가스는 약 100 sccm 내지 약 15000 sccm의 유량으로 제공되는 N2를 포함한다. 일부 구성들에서, Ar과 같은 캐리어 가스가 동시에, 약 100 sccm 내지 약 15000 sccm의 유량으로 제공된다. 이 예에서, 산소 함유 가스는 약 100 sccm 내지 약 15000 sccm의 O2 유량으로 제공되는 O2를 포함한다.
[0050] 동작(330)의 다른 예에서, 프로세스 가스가 약 50 초 내지 약 60 초 동안 제공되는 동안, 프로세싱 챔버 컴포넌트, 이를테면, 정전 척(102) 또는 샤워헤드(105)의 제1 온도는 약 100 ℃ 내지 약 650 ℃로 유지되고, 챔버의 압력은 약 4 Torr 내지 약 10 Torr로 유지되며, 약 800 W 내지 약 2500 W의 RF 전력이 약 13.56 MHz의 RF 주파수에서 프로세싱 챔버 컴포넌트에 인가된다. 일 예에서, 프로세스 가스는, 각각, 약 800 sccm 그리고 약 14000 sccm의 유량으로 제공되는 N2 및 O2를 포함한다. 일부 실시예들에서, 샤워헤드(105)는 약 100 ℃ 내지 약 300 ℃의 온도로 유지되고, 정전 척(102)은 약 400 ℃ 내지 약 650 ℃의 온도로 유지된다.
[0051] 동작(330)의 다른 예에서, 약 50 초 동안, 정전 척(102)의 제1 온도는 약 400 ℃ 내지 약 650 ℃로 유지되고, 챔버의 압력은 약 4 Torr 내지 약 6 Torr로 유지되고, 약 1500 W 내지 약 2000 W의 RF 전력이 약 13.56 MHz의 RF 주파수에서 프로세싱 챔버 컴포넌트에 인가되고, Ar 및 N2를 포함하는 질소 함유 가스가 약 800 sccm의 N2 유량 및 약 100 sccm의 Ar 유량으로 제공되며, O2를 포함하는 산소 함유 가스가 약 14000 sccm의 O2 유량으로 제공된다.
[0052] 동작(330)의 다른 예에서, Ar, O2 및 N2를 포함하는 프로세스 가스가 약 50 초 내지 약 90 초 동안 제공되는 동안, 샤워헤드(105)의 제1 온도는 약 100 ℃ 내지 약 300 ℃로 유지되고, 챔버의 압력은 약 4 Torr 내지 약 6 Torr로 유지되며, 약 1500 W 내지 약 2000 W의 RF 전력이 약 13.56 MHz의 RF 주파수에서 프로세싱 챔버 컴포넌트에 인가된다. 일 예에서, N2 유량은 약 800 sccm로 제공되고, Ar 유량은 약 100 sccm으로 제공되며, O2를 포함하는 산소 함유 가스가 약 14000 sccm의 O2 유량으로 제공된다.
[0053] 일부 실시예들에서, 프로세스 챔버 컴포넌트는 Al을 포함하고, 세정 방법(300)은 컴포넌트의 표면 상에 형성되는 보호 AlxNy 박막을 야기한다. AlxNy 박막은 Al, C 및 O를 포함하는 잔류물(215)보다 더욱 열적으로 안정적이다. 따라서, AlxNy 박막은 프로세싱 조건들 동안 잔류물(215)의 형성을 방지한다.
[0054] 컴포넌트 내의 잔류물(215)은 질소 함유 가스를 포함하는 제1 프로세스 플라즈마(107)에 노출되며, 이는 프로세스 챔버 컴포넌트의 표면 및 잔류물과 화학적으로 반응하여 변형된 잔류물(220) 및 프로세스 챔버 컴포넌트의 변형된 표면을 생성한다. 변형된 잔류물(220)은 산소 함유 가스를 포함하는 제2 프로세스 플라즈마(107)에 노출되며, 이는 변형된 잔류물과 화학적으로 반응한다. 제1 프로세스 플라즈마와 제2 프로세스 플라즈마의 조합은 변형된 잔류물(220)을 컴포넌트로부터 제거한다. 프로세스는 Al, N 및 O를 포함하는 휘발성 종을 생성하는 데 특히 효과적이지만, 이에 제한되지 않는다.
[0055] 질소 함유 가스와 산소 함유 가스의 조합은, 당업계의 방법보다 더 빠르고 더욱 철저한 세정을 제공하여서, 스루풋을 증가시킨다. 그 외에도, 방법은, 챔버(101)에서의 동작 포지션으로부터 컴포넌트를 제거하지 않고 작동하여서, 챔버를 분해하는 비용 및 시간을 낮춘다. 또한, AlxNy 박막의 형성은 정상적인 프로세싱 조건들 동안 잔류물(215)의 형성을 방지한다.
[0056] 전술된 내용이 본 발명의 구현들에 관한 것이지만, 본 발명의 기본적인 범위를 벗어나지 않고, 본 발명의 다른 그리고 추가적인 구현들이 안출될 수 있으며, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (14)

  1. 프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법으로서,
    프로세스 챔버의 프로세싱 구역 내에 배치된 상기 프로세싱 챔버 컴포넌트의 표면 상에 잔류물을 형성하는 단계; 및
    상기 프로세싱 챔버 컴포넌트의 표면이 상기 프로세싱 구역 내에 배치되어 제1 온도로 가열되는 동안, 상기 프로세싱 챔버 컴포넌트의 표면 상에 형성된 잔류물을 제1 프로세스 플라즈마에 노출시키는 단계
    를 포함하고,
    상기 제1 프로세스 플라즈마는 질소 함유 가스 및 산소 함유 가스를 포함하며; 그리고
    상기 제1 프로세스 플라즈마는 상기 프로세싱 챔버 컴포넌트를 RF(radio frequency) 바이어싱(biasing)함으로써 형성되는,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
  2. 제1 항에 있어서,
    상기 프로세싱 챔버 컴포넌트는 복수의 애퍼처들을 포함하는 샤워헤드를 포함하고, 상기 샤워헤드는 알루미늄을 포함하며, 그리고 상기 제1 프로세스 플라즈마에 상기 샤워헤드를 노출시킨 후에, 상기 애퍼처들의 표면은 알루미늄(Al) 및 질소(N)를 포함하는 박막을 포함하는,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
  3. 제2 항에 있어서,
    상기 복수의 애퍼처들은 내부 채널, 경사부(sloped portion) 및 외부 채널을 포함하고, 상기 경사부는 상기 내부 채널과 상기 외부 채널을 유체적으로(fluidly) 연결하며, 그리고 상기 잔류물은 상기 복수의 애퍼처들 중 적어도 하나의 애퍼처의 경사부 상에 배치되는,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
  4. 제2 항에 있어서,
    상기 샤워헤드에 인가되는 RF 바이어스는 약 800 W 내지 약 2500 W의 RF 전력을 인가하는 것을 포함하는,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
  5. 제2 항에 있어서,
    상기 잔류물은 탄소(C) 및 산소(O)를 포함하는,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
  6. 제5 항에 있어서,
    상기 잔류물을 상기 제1 프로세스 플라즈마에 노출시키는 단계는 상기 잔류물이 화학 반응을 겪게 하여서, 상기 제1 프로세스 플라즈마에 상기 잔류물을 노출시킨 후에 상기 잔류물은 탄소(C)보다 더 높은 비율(percentage)의 질소(N)를 포함하는,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
  7. 프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법으로서,
    프로세스 챔버의 프로세싱 구역에 배치된 프로세싱 챔버 컴포넌트가 제1 온도로 가열되는 동안, 상기 프로세싱 챔버 컴포넌트 상에 형성된 잔류물을 제1 프로세스 플라즈마에 노출시키는 단계 ―상기 제1 프로세스 플라즈마는 질소 함유 가스를 포함함―; 및
    상기 프로세싱 챔버 컴포넌트가 상기 프로세싱 구역에 배치되어 있는 동안, 그리고 상기 프로세싱 챔버 컴포넌트가 제2 온도로 가열되는 동안, 상기 잔류물을 제2 프로세스 플라즈마에 노출시키는 단계
    를 포함하고,
    상기 제2 프로세스 플라즈마는 산소 함유 가스를 포함하는,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
  8. 제7 항에 있어서,
    상기 프로세싱 챔버 컴포넌트는 알루미늄(Al)을 포함하는 샤워헤드를 포함하며, 그리고 상기 제1 프로세스 플라즈마 및 상기 제2 프로세스 플라즈마에 상기 샤워헤드를 노출시킨 후에, 애퍼처들의 표면은 알루미늄(Al) 및 질소(N)를 포함하는 박막을 포함하는,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
  9. 제8 항에 있어서,
    복수의 애퍼처들은 경사부를 갖는,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
  10. 제9 항에 있어서,
    상기 복수의 애퍼처들은 내부 채널, 경사부 및 외부 채널을 포함하고, 상기 경사부는 상기 내부 채널과 상기 외부 채널을 유체적으로 연결하며, 그리고 상기 형성된 잔류물은 상기 복수의 애퍼처들 중 적어도 하나의 애퍼처의 경사부 상에 배치되는,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
  11. 제8 항에 있어서,
    상기 프로세싱 챔버 컴포넌트에 RF(radio frequency) 바이어스가 인가되는,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
  12. 제8 항에 있어서,
    상기 잔류물은 탄소(C) 및 산소(O)를 포함하는,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
  13. 제12 항에 있어서,
    상기 잔류물을 상기 제1 프로세스 플라즈마에 노출시키는 단계는 상기 잔류물이 화학 반응을 겪게 하여서, 상기 잔류물을 상기 제1 프로세스 플라즈마에 노출시킨 후에 상기 잔류물은 탄소(C)보다 더 높은 비율의 질소(N)를 포함하는,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
  14. 제7 항에 있어서,
    상기 제1 온도와 상기 제2 온도는 실질적으로 동일한,
    프로세싱 챔버 컴포넌트로부터 잔류물을 제거하는 방법.
KR1020217016932A 2018-11-06 2019-10-07 프로세스 챔버 컴포넌트 세정 방법 KR20210072121A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/182,407 2018-11-06
US16/182,407 US20200140999A1 (en) 2018-11-06 2018-11-06 Process chamber component cleaning method
PCT/US2019/055019 WO2020096720A1 (en) 2018-11-06 2019-10-07 Process chamber component cleaning method

Publications (1)

Publication Number Publication Date
KR20210072121A true KR20210072121A (ko) 2021-06-16

Family

ID=70460068

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217016932A KR20210072121A (ko) 2018-11-06 2019-10-07 프로세스 챔버 컴포넌트 세정 방법

Country Status (7)

Country Link
US (1) US20200140999A1 (ko)
JP (1) JP2022506454A (ko)
KR (1) KR20210072121A (ko)
CN (1) CN112930580A (ko)
SG (1) SG11202103554TA (ko)
TW (1) TW202022157A (ko)
WO (1) WO2020096720A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102278081B1 (ko) * 2019-06-27 2021-07-19 세메스 주식회사 기판 처리 장치 및 방법
TWI779395B (zh) * 2020-11-16 2022-10-01 友威科技股份有限公司 利用電漿蝕刻去除晶圓缺陷的重工處理設備

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6060397A (en) * 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US9896326B2 (en) * 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
CN109075066B (zh) * 2016-03-31 2023-08-04 东京毅力科创株式会社 使用无晶片干式清洗发射光谱来控制干式蚀刻过程的方法

Also Published As

Publication number Publication date
CN112930580A (zh) 2021-06-08
WO2020096720A1 (en) 2020-05-14
TW202022157A (zh) 2020-06-16
JP2022506454A (ja) 2022-01-17
SG11202103554TA (en) 2021-05-28
US20200140999A1 (en) 2020-05-07

Similar Documents

Publication Publication Date Title
KR102651766B1 (ko) 단일 처리 챔버에서 반도체 필름으로부터의 산화물 및 탄소를 제거하는 장치 및 방법
US10998187B2 (en) Selective deposition with atomic layer etch reset
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
KR101605853B1 (ko) 반도체 웨이퍼를 에칭하기 위한 장치
US8748322B1 (en) Silicon oxide recess etch
JP5925802B2 (ja) 2段階での均一なドライエッチング
US8475674B2 (en) High-temperature selective dry etch having reduced post-etch solid residue
JP6366454B2 (ja) 被処理体を処理する方法
JP2019515505A (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
US20220028697A1 (en) Directional deposition in etch chamber
JP2015053501A (ja) シリコン含有膜の平滑SiConiエッチング
KR20110120359A (ko) 기판 표면을 세정하기 위한 방법 및 장치
US11462412B2 (en) Etching method
US20180218915A1 (en) Isotropic etching of film with atomic layer control
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
CN114512398A (zh) 基板处理方法和基板处理系统
JP2023524253A (ja) ハードマスクの選択性改善のための不活性ガス注入
KR20210072121A (ko) 프로세스 챔버 컴포넌트 세정 방법
KR20180016308A (ko) 플라즈마 처리에 의한 불화 알루미늄 경감
CN113675080A (zh) 蚀刻方法和蚀刻装置
US20200255940A1 (en) Method for cleaning process chamber
JP6920309B2 (ja) エッチングハードウェアに対する水素プラズマベース洗浄処理
JP2020520116A (ja) 基板及びチャンバ部品上への金属ケイ素化合物層の堆積
KR20230026470A (ko) 플라즈마 챔버에서의 애쉬 레이트 복구 방법