CN113675080A - 蚀刻方法和蚀刻装置 - Google Patents

蚀刻方法和蚀刻装置 Download PDF

Info

Publication number
CN113675080A
CN113675080A CN202110498711.6A CN202110498711A CN113675080A CN 113675080 A CN113675080 A CN 113675080A CN 202110498711 A CN202110498711 A CN 202110498711A CN 113675080 A CN113675080 A CN 113675080A
Authority
CN
China
Prior art keywords
gas
period
etching
chamber
etching method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110498711.6A
Other languages
English (en)
Inventor
五十岚义树
菊岛悟
须贺隆之
林军
朱澄亚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN113675080A publication Critical patent/CN113675080A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种蚀刻方法和蚀刻装置,以高选择性蚀刻含Si和O的膜。选择性地蚀刻含Si和O的材料的蚀刻方法包括以下工序:将具有含Si和O的材料的基板设置于腔室内;重复先开始的供给碱性气体的第一期间和接着开始的供给含氟气体的第二期间,使第二期间的至少一部分不与第一期间重叠;以及对通过供给碱性气体和含氟气体而生成的反应生成物进行加热来去除该反应生成物。

Description

蚀刻方法和蚀刻装置
技术领域
本公开涉及一种蚀刻方法和蚀刻装置。
背景技术
近年来,已知一种在半导体器件的制造过程中不在腔室内生成等离子体而是进行化学蚀刻的、被称作化学氧化物去除处理(Chemical Oxide Removal;COR)的方法。作为COR,已知一种针对存在于作为基板的半导体晶圆的表面的氧化硅膜(SiO2膜)使用作为含氟气体的氟化氢(HF)气体和作为碱性气体的氨(NH3)气体的技术(例如专利文献1、2)。在该技术中,使HF气体及NH3气体与氧化硅膜反应来生成氟硅酸铵((NH4)2SiF6;AFS),通过加热来使该氟硅酸铵升华,由此对氧化硅膜进行蚀刻。
现有技术文献
专利文献
专利文献1:日本特开2005-39185号公报
专利文献2:日本特开2008-160000号公报
发明内容
发明要解决的问题
本公开提供一种能够以高的选择性对含Si和O的膜进行蚀刻的蚀刻方法和蚀刻装置。
用于解决问题的方案
本公开的一个方式所涉及的蚀刻方法是选择性地蚀刻含Si和O的材料的蚀刻方法,该蚀刻方法包括以下工序:将具有含Si和O的材料的基板设置于腔室内;重复先开始的供给含氟气体的第一期间和接着开始的供给碱性气体的第二期间,使所述第二期间的至少一部分不与所述第一期间重叠;以及对通过供给所述碱性气体和所述含氟气体而生成的反应生成物进行加热来去除该反应生成物。
发明的效果
根据本公开,提供一种能够以高的选择性对含Si和O的膜进行蚀刻的蚀刻方法和蚀刻装置。
附图说明
图1是表示用于实施一个实施方式的蚀刻方法的蚀刻装置的一例的截面图。
图2是表示一个实施方式所涉及的蚀刻方法的流程图。
图3是示意性地表示应用一个实施方式所涉及的蚀刻方法的基板的构造的图。
图4是表示步骤3的典型例的图。
图5是表示步骤3的其它例的图。
图6是表示步骤3的另一其它例的图。
图7A是用于说明一个实施方式所涉及的蚀刻方法的机制的图。
图7B是用于说明一个实施方式所涉及的蚀刻方法的机制的图。
图7C是用于说明一个实施方式所涉及的蚀刻方法的机制的图。
图8A是用于说明以往的蚀刻方法的机制的图。
图8B是用于说明以往的蚀刻方法的机制的图。
图8C是用于说明以往的蚀刻方法的机制的图。是表示进行了去除蚀刻残渣的第二工序的加热处理之后的状态的示意图。
图9是示意性地表示应用一个实施方式所涉及的蚀刻方法的基板的其它构造例的图。
图10是表示实验例中使用的模式1(以往例)的图。
图11是表示实验例中使用的模式2(HF脉冲)的图。
图12是表示实验例中使用的模式3(同步脉冲)的图。
图13是表示实验例中使用的模式4(实施方式)的图。
图14是表示实验例中使用的模式1~4的蚀刻量的图。
图15是表示实验例中使用的模式1~4的SiN肩部损失的图。
图16是表示实验例中的模式1~4的、SiO2膜相对于SiN的选择比的图。
附图标记说明
1:蚀刻装置;10:腔室;12:载置台;13:气体供给机构;14:排气机构;26:喷淋头;45:调温器;51:HF气体供给源;53:NH3气体供给源;80:控制部;101、203:SiO2膜;102、202:SiN膜;201:基体;W:基板。
具体实施方式
下面,参照附图来说明实施方式。
<蚀刻装置>
图1是表示用于实施一个实施方式的蚀刻方法的蚀刻装置的一例的截面图。图1所示的蚀刻装置对存在于基板的例如表面的含硅(Si)和氧(O)的材料进行蚀刻。作为含Si和O的材料,作为代表例能够列举SiO2,但也可以为SiON、SiOCN、SiOC。另外,代表性地,含Si和O的材料为膜。
如图1所示,蚀刻装置1具备呈密闭构造的腔室10,在腔室10的内部设置有用于将基板W以大致水平的状态载置的载置台12。作为基板W,例示出Si晶圆等半导体晶圆,但并不限于此。
另外,蚀刻装置1具备向腔室10供给处理气体的气体供给机构13以及对腔室10内进行排气的排气机构14。
腔室10由腔室主体21和盖部22构成。腔室主体21具有底部21b和大致圆筒形状的侧壁部21a,腔室主体21在上部具有开口,该开口被在内部具有凹部的盖部22封闭。侧壁部21a和盖部22通过密封构件(未图示)而密闭,以确保腔室10内的气密性。
在盖部22的内部,以与载置台12相面对的方式嵌入有作为气体导入构件的喷淋头26。喷淋头26具有主体31和喷淋板32,所述主体31具有侧壁和上部壁,且呈圆筒状,所述喷淋板32设置于主体31的底部。主体31的外周部和喷淋板32通过密封环(未图示)而密封,形成为密闭构造。另外,在主体31的中央部与喷淋板32之间形成有用于扩散气体的空间33。此外,方便起见,用简单的形状示出空间33。
在盖体22的顶壁垂直地形成有第一气体导入孔34和第二气体导入孔35,第一气体导入孔34和第二气体导入孔35贯通喷淋头26的上部壁并与空间33连接。在喷淋板32形成有从空间33起垂直地延伸、贯通该喷淋板32并面对腔室10的内部的多个气体喷出孔37。
因而,在喷淋头26中,从第一气体导入孔34和第二气体导入孔35向空间33供给气体,经由气体喷出孔37喷出在空间33内混合后的气体。
在腔室主体21的侧壁部21a设置有用于搬入和搬出基板W的搬入搬出口41,该搬入搬出口41能够通过闸阀42进行开闭,以使得能够在腔室主体21与相邻的其它模块之间搬送基板W。
载置台12在俯视观察时呈大致圆形,被固定于腔室10的底部21b。在载置台12的内部设置有用于调节载置台12的温度的调温器45。调温器45例如能够由调温介质流路、电阻加热器构成,用于调节温度的调温介质(例如水等)循环于调温介质流路。通过调温器45将载置台12调温至期望的温度,由此对载置于载置台12的基板W进行温度控制。
气体供给机构13具有HF气体供给源51、Ar气体供给源52、NH3气体供给源53以及N2气体供给源54。
HF气体供给源51供给作为含氟气体的HF气体。在此,例示HF气体来作为含氟气体,但也能够使用HF气体之外的F2气体、ClF3气体、NF3气体来作为含氟气体。
NH3气体供给源53供给作为碱性气体的NH3气体。在此,例示NH3气体来作为碱性气体,但也能够将NH3气体之外的胺气用作碱性气体。作为胺,能够列举甲胺、二甲胺、三甲胺等。
Ar气体供给源52和N2气体供给源54供给N2气体、Ar气体来作为非活性气体,该非活性气体兼备稀释气体、吹扫气体、载气的功能。但是,也可以两方均为Ar气体或N2气体。另外,非活性气体不限定于Ar气体和N2气体,还能够使用He气体等其它稀有气体。
这些气体供给源51~54分别与第一气体供给配管61~第四气体供给配管64的一端连接。与HF气体供给源51连接的第一气体供给配管61的另一端与第一气体导入孔34连接。与Ar气体供给源52连接的第二气体供给配管62的另一端与第一气体供给配管61连接。与NH3气体供给源53连接的第三气体供给配管63的另一端与第二气体导入孔35连接。与N2气体供给源54连接的第四气体供给配管64的另一端与第三气体供给配管63连接。
作为含氟气体的HF气体和作为碱性气体的NH3气体分别与作为非活性气体的Ar气体和N2气体一起经由第一气体导入孔34和第二气体导入孔35到达喷淋头26,并且从喷淋头26的气体喷出孔37喷出至腔室10内。
在第一气体供给配管61~第四气体供给配管64上设置有进行流路的开闭动作和流量控制的流量控制部65。流量控制部65例如由开闭阀、以及如质量流量控制器(MFC)或流量控制系统(FCS)这样的流量控制器构成。
排气机构14具有与形成于腔室10的底部21b的排气口71相连的排气配管72,还具有设置于排气配管72的、用于控制腔室10内的压力的自动压力控制阀(APC)73和用于对腔室10内进行排气的真空泵74。
在腔室10的侧壁形成有用于进行腔室10内的压力控制的、高压用和低压用的两个电容压力计76a、76b。在载置于载置台12的基板W的附近设置有用于检测基板W的温度的温度传感器(未图示)。
构成蚀刻装置1的腔室10、喷淋头26、载置台12由铝之类的金属材料形成。可以在这些部分的表面形成有氧化皮膜等皮膜。作为皮膜,在所述金属材料为铝的情况下例如能够列举阳极氧化皮膜(Al2O3)。也可以为陶瓷涂层。
蚀刻装置1还具有控制部80。控制部80由计算机构成,具有具备CPU的主控制部、输入装置、输出装置、显示装置以及存储装置(存储介质)。主控制部控制蚀刻装置1的各构成部的动作。主控制部对各构成部进行的控制是基于内置于存储装置的存储介质(硬盘、光盘、半导体存储器等)中存储的控制程序来进行的。在存储介质中存储有作为控制程序的处理制程,基于处理制程来执行蚀刻装置1的处理。
此外,图1的蚀刻装置也可以具有通过等离子体等辅助地激励气体的单元,但优选进行无等离子体的蚀刻处理。
<蚀刻方法>
接着,对通过上述的蚀刻装置1进行的蚀刻方法的一个实施方式进行说明。以下的蚀刻方法在控制部80的控制之下进行。
图2是表示一个实施方式所涉及的蚀刻方法的流程图。
首先,将具有含Si和O的材料的基板W设置在腔室10内(步骤1)。具体地说,将基板W搬入腔室10内,并载置于被调温器45进行调温后的载置台12。
作为基板W的构造例,例如能够列举如图3中示意性地示出的构造。在图3的例子中,在基板W中,在基体(未图示)上形成有作为蚀刻对象的SiO2膜101和作为非蚀刻材料的SiN膜102,所述SiO2膜101是含Si和O的材料。但是,基板W的构造并不限于图3所示的那样。
此外,关于图3的例子,能够相对于SiN膜102以期望的选择比对SiO2膜101进行蚀刻。但是,作为使得在对SiO2膜101进行蚀刻时能够得到期望的选择比的非蚀刻材料,不限于SiN,能够应用含Si和、N和/或C的任意的材料。作为这样的材料,能够使用从SiN、SiON、SiOCN、SiOC、SiCN、SiC中选择出的一种或两种以上的材料。代表性地,使这些材料形成为膜。
另外,作为蚀刻对象的材料,只要是含Si和O的任意的材料即可,不限于SiO2,能够使用从SiO2、SiON、SiOCN、SiOC中选择出的一种或两种以上的材料。代表性地,使这些材料形成为膜。关于这些材料中的除了SiO2以外的材料,也能够相对于SiN、SiON、SiOCN、SiOC、SiCN、SiC以期望的选择比进行蚀刻。
SiOCN、SiOC为低介电常数(Low-k)膜,它们能用作蚀刻对象膜,也能也用作非蚀刻膜。例如,作为SiOCN膜、SiOC膜,能够将C浓度低(<6at%)的膜用作蚀刻对象膜,将C浓度高(<10at%)的膜用作非蚀刻膜。
作为典型例,能够列举如上述那样的将SiO2膜用作蚀刻对象、将SiN膜用作非蚀刻材料的情况,但将作为Low-k膜的SiOCN膜用作非蚀刻材料也是适合的。
接着,从气体供给机构13向腔室10内供给非活性气体(Ar气体、N2气体),来进行使基板W的温度稳定并且使腔室10内的压力稳定的稳定化(步骤2)。
接着,在从气体供给机构13供给非活性气体的状态下重复先开始的供给碱性气体例如NH3气体的第一期间和接着开始的供给含氟气体例如HF气体的第二期间,使第二期间的至少一部分不与第一期间重叠(步骤3)。
接着,对通过步骤3生成的反应生成物进行加热来去除该反应生成物(步骤4)。在NH3气体和HF气体的组合的情况下,生成作为反应生成物的氟硅酸铵(AFS)。
在图4中表示步骤3的典型例。在图4中还一并示出步骤2和步骤4。在腔室内,继步骤2的稳定化之后在持续地流通非活性气体(Ar气体和N2气体)的状态下先开始供给碱性气体(NH3气体)的第一期间T1,在第一期间T1结束后连续地开始供给含氟气体(HF气体)的第二期间T2,重复第一期间T1和第二期间T2。而且,在步骤3之后,进行步骤4的用于去除反应生成物的加热处理(第三期间T3)。
在步骤3中,如图5所示,也可以使第一期间T1与第二期间T2局部重叠。并且,如图6所示,也可以在第二期间T2与下一个第一期间T1之间插入进行腔室10的吹扫的第四期间T4。在此,通过仅流通非活性气体(Ar气体和N2气体)来进行吹扫。在重复进行第一期间T1的碱性气体的供给和第二期间T2的含氟气体的供给后实施第三期间T3的加热处理的一系列的处理可以仅进行一次,也可以重复两次以上。
如上述那样,代表性地,能够列举NH3气体来作为碱性气体,列举HF气体来作为含氟气体,但除此以外,还能够将胺气用作碱性气体,将F2气体、ClF3气体、NF3气体用作含氟气体。
关于这样的步骤3的机制,以将NH3气体用作碱性气体、将HF气体用作含氟气体来对图3的SiO2膜101进行蚀刻的情况为例进行说明。首先,在供给NH3气体的第一期间T1中,如图7A所示,供给的NH3气体吸附于基板W的表面。接着,在第二期间T2中,如图7B所示,供给的HF气体与被吸附的NH3气体及SiO2膜101发生反应,生成作为反应生成物的氟硅酸铵(AFS)104。通过将该处理重复两次以上,能够如图7C所示那样将作为反应生成物的AFS104形成至期望的深度。
另一方面,以往,如图8A所示,在先开始供给NH3气体后,在一直供给NH3气体的状态下供给HF气体。由此,如图8B所示那样持续进行生成AFS 104的反应。当蚀刻有进展时,如图8C所示,AFS 104的生成量变多,AFS 104与过剩的NH3发生反应而使得SiN膜102的蚀刻有进展,从而相对于SiN膜102的选择性下降。
在本实施方式中,如上述那样,AFS 104的生成量取决于在第一期间T1中吸附的NH3气体的量,因此容易微量地控制AFS 104的量。另外,在第二期间T2中基本上只供给HF气体,不供给NH3气体,或者只是供给了与重叠时间相应的量的NH3气体。因此,AFS的生成量的可控性好,能够抑制如以往那样由于AFS 104与NH3发生反应而引起的对SiN膜102的蚀刻,能够以高选择比对SiO2膜101进行蚀刻。另外,由于在存在作为反应生成物的AFS的状态下使蚀刻有进展,因此能够维持期望的蚀刻量。另外,表面存在AFS的状态能够视作与表面上已经吸附有NH3和HF的状态相同,蚀刻反应的孵育时间变短。
使用了其它碱性气体和含氟气体的情况、以及将SiO2以外的含Si和O的材料用作蚀刻对象的情况也是同样的。
如上述那样,在步骤3中,进行重复先进行的碱性气体的供给和接着进行的含氟气体的供给的脉冲状的气体供给,并非先供给含氟气体。在先供给了含氟气体的情况下,蚀刻量会变得极低。另外,如上述那样,也可以在供给含氟气体的第二期间T2与下一个供给碱性气体的第一期间T1之间进行腔室10的吹扫,但不在第一期间T1与第二期间T第二之间进行吹扫。这是因为,若在该定时进行吹扫,则会将已吸附于表面的碱性气体(NH3气体)去除,使得难以发生蚀刻反应。
在步骤3中,能够通过第一期间T1和第二期间T2的长度、碱性气体和含氟气体的流量、第一期间T1和第二期间T2的重复次数、有无吹扫等,来恰当地调整蚀刻量(蚀刻速率)和选择比的平衡。
存在以下倾向:第一期间T1或第二期间T2越长则蚀刻量(蚀刻速率)越大,第一期间T1或第二期间T2越短则选择比越大。另外,存在以下倾向:进行去除AFS等反应生成物的第三期间T3之前的、第一期间T1和第二期间T2的重复次数越多,则蚀刻量(蚀刻速率)越大,重复次数越少则选择比越大。第一期间T1和第二期间T2的长度优选为0.5sec~10sec的范围,第三期间T3之前的第一期间T1和第二期间T2的重复次数优选处于2次~10次的范围。在重视效率而想要提高蚀刻速率时,优选使第一期间T1或第二期间T2在以上范围内延长以及/或者使重复次数增多。在相比效率而言更重视选择比的情况下,优选使期间T1或第二期间T2在以上范围内缩短以及/或者使重复次数减少。
另外,存在以下倾向:NH3气体等碱性气体和HF气体等含氟气体的流量越多则蚀刻量(蚀刻速率)越大,流量越少则选择比越大。碱性气体的流量优选处于20sccm~500sccm的范围,含氟气体的流量优选处于20sccm~500sccm的范围。
另外,通过在供给含氟气体的第二期间T2之后进行腔室10内的吹扫来排出多余的气体,因此能够在维持蚀刻量的同时进一步提高选择比。但是,处理时间延长了与进行吹扫的第四期间T4相应的时间。在上述的例子中,在吹扫期间,一边对腔室10内抽真空一边供给Ar气体、N2气体等吹扫气体,但也可以只是对腔室10内抽真空。在进行吹扫的情况下,实施吹扫的第四期间T4优选为0.5sec~5sec。
在步骤2的第一期间T1和第二期间T2通过碱性气体和含氟气体进行蚀刻时的温度优选为80℃以下,更优选为60℃~80℃。通过将此时的温度设为80℃以下,能够使AFS等反应生成物残留,能够进行如上述那样的可控制好的蚀刻。另外,进行蚀刻时的压力优选处于2.67Pa~6666Pa(0.02Torr~50Torr)的范围。存在以下倾向:压力越高则蚀刻量(蚀刻速率)越大,选择比越低。
关于步骤4的用于去除反应生成物的加热处理,能够通过在步骤3结束后对基板W进行加热并且一边供给Ar气体、N2气体等非活性气体一边对腔室10抽真空来进行。此时的加热温度可以为与步骤3相同的温度,但通过在更高的温度下进行去除能够提高去除效率。步骤4也可以在腔室10之外的腔室进行。用于去除反应生成物的加热处理的时间根据生成的反应生成物的量而变化,但优选为15sec~120sec。通过定期地进行用于去除反应生成物的加热处理,来将反应生成物(AFS)完全去除,使腔室10内焕然一新来使工艺稳定性提高。
在步骤3中,如上述那样,脉冲状地实施供给碱性气体的第一期间T1和供给含氟气体的第二期间T2,由此能够以高选择比蚀刻SiO2膜等,但根据条件的不同,有时表面粗糙度、载荷会成为问题。
在表面粗糙度成为问题的情况下,增加第一期间T1和第二期间T2的重复次数、使进行处理时的压力上升是有效的。但是,重复次数的增加、高压化会使处理朝着选择比下降(肩部损失上升)的方向发展,因此需要根据所要求的特性来恰当地设定条件。通过仅在最后几次进行高压化等条件变更(多步骤化),能够使这些特性同时实现。
载荷是在图案密(Dense)的情况和粗(Iso)的情况下蚀刻量不同的现象。在载荷成为问题的情况下,重复次数的增加、第一期间T1和/或第二期间T2的延长、碱性气体和/或含氟气体的流量增加、压力上升等是有效的。
此外,如上述那样,基板W的构造不限于图3的构造,可以为各种构造,例如,如图9所示,也可以在Si等的基体201上交替地层叠多个SiN膜202和SiO2膜203。在这样的构造的基板W中,在SiO2膜203较薄且为1nm~5nm左右的情况下,如以往那样当选择性低时非常难除掉SiO2膜203,但在本实施方式的蚀刻方法中能够得到良好的去除性。
<实验例>
接着,对实验例进行说明。
在此,针对图9的构造的基板,通过图1的装置,使用NH3气体和HF气体通过以下说明的模式1~4来进行SiO2膜的蚀刻。SiO2膜的厚度设为3nm、5nm。作为共同条件,将基板温度设为60℃~80℃,将压力设为2.67Pa~6666Pa(0.02Torr~50Torr),将NH3气体流量设为20sccm~500sccm,将HF气体流量设为20sccm~500sccm,将Ar气体流量设为10sccm~5000sccm,将N2气体流量设为10sccm~10000sccm。
如图10所示,模式1为如下序列:首先流通Ar气体、N2气体、NH3气体以使得稳定化,之后在流通这些气体的状态下以3.0sec的时间供给HF气体,之后以60sec的时间进行AFS去除处理。在(以往模式)模式1中,将该序列重复18次。
如图11所示,模式2为如下序列(HF脉冲):首先流通Ar气体、N2气体、NH3气体以使得稳定化,之后在流通这些气体的状态下进行两次以1.5sec的供给时间脉冲状地供给HF气体的处理,之后以60sec的时间进行AFS去除处理。在模式2中,将该序列重复22次。
如图12所示,模式3为如下序列(同步脉冲):首先流通Ar气体、N2气体以使得稳定化,之后在流通这些气体的状态下进行两次以1.5sec的供给时间同时脉冲状地供给NH3气体和HF气体的处理,之后以60sec的时间进行AFS去除处理。在模式3中,将该序列重复21次。
如图13所示,模式4为如下序列(实施方式):首先流通Ar气体、N2气体并使得稳定化,之后在流通有这些气体的状态下进行两次交替地将以1.5sec的供给时间供给NH3气体和HF气体的处理各进行两次,之后以60sec的时间进行AFS去除处理。在模式4中,将该序列重复61次。
在图14、图15、图16中分别示出以这些模式1~4进行蚀刻时的蚀刻量、根据CD差计算出的SiN膜的肩部损失(SiN肩部损失)、SiO2膜相对于SiN膜的选择比。如图14所示,模式1~4中的蚀刻量存在较大差异。与此相对地,如图15所示,关于根据CD差计算出的SiN肩部损失,相比于其它模式,作为实施方式的模式4中的该SiN肩部损失表示出非常小的值。另外,如图16所示,关于选择比也能够确认出,在作为实施方式的模式4中该选择比获得了100以上的值,相比于选择比约为20的模式1~3明显地变大了。
<其它应用>
以上说明了实施方式,但应当认为本次公开的实施方式在所有方面均为例示,而非限制性的。上述的实施方式在不脱离所附的权利要求书及其主旨的情况下能够以各种方式进行省略、置换、变更。
例如,上述实施方式的装置只是例示,能够应用各种结构的装置。另外,作为基板,例示了半导体晶圆,但不限于半导体晶圆,也可以为以LCD(液晶显示器)用基板为代表的FPD(平板显示器)基板、陶瓷基板等其它基板。

Claims (20)

1.一种蚀刻方法,用于选择性地蚀刻含Si和O的材料,所述蚀刻方法包括以下工序:
将具有含Si和O的材料的基板设置于腔室内;
重复先开始的供给碱性气体的第一期间和接着开始的供给含氟气体的第二期间,使所述第二期间的至少一部分不与所述第一期间重叠;以及
对通过供给所述碱性气体和所述含氟气体而生成的反应生成物进行加热来去除该反应生成物。
2.根据权利要求1所述的蚀刻方法,其特征在于,
在重复所述第一期间和所述第二期间的工序中,在供给所述碱性气体的所述第一期间结束后连续地开始供给所述含氟气体的所述第二期间。
3.根据权利要求1或2所述的蚀刻方法,其特征在于,
将以下工序重复多次:重复所述第一期间和所述第二期间的工序;以及对所述反应生成物进行加热来去除所述反应生成物的工序。
4.根据权利要求1至3中的任一项所述的蚀刻方法,其特征在于,
在重复所述第一期间和所述第二期间的工序中,在所述第二期间结束后且所述第一期间开始之前,进行所述腔室的吹扫。
5.根据权利要求1至4中的任一项所述的蚀刻方法,其特征在于,
所述含氟气体为从HF气体、F2气体、ClF3气体、NF3气体中选择出的至少一种气体,所述碱性气体为从NH3气体和胺气中选择出的至少一种气体。
6.根据权利要求5所述的蚀刻方法,其特征在于,
所述含氟气体为HF气体,所述碱性气体为NH3气体,所述反应生成物为氟硅酸铵。
7.根据权利要求6所述的蚀刻方法,其特征在于,
在80℃以下的温度下进行重复所述第一期间和所述第二期间的工序。
8.根据权利要求7所述的蚀刻方法,其特征在于,
在60℃~80℃的范围的温度下进行重复所述第一期间和所述第二期间的工序。
9.根据权利要求1至8中的任一项所述的蚀刻方法,其特征在于,
所述基板还具有含Si和、N和/或C的材料,相对于所述含Si和、N和/或C的材料选择性地蚀刻所述含Si和O的材料。
10.根据权利要求9所述的蚀刻方法,其特征在于,
所述含Si和O的材料是从SiO2、SiON、SiOCN、SiOC中选择出的,所述含Si和、N和/或C的材料是从SiN、SiON、SiOCN、SiOC、SiCN、SiC中选择出的。
11.根据权利要求10所述的蚀刻方法,其特征在于,
所述含Si和O的材料为SiO2膜,所述含Si和、N和/或C的材料为SiN膜或SiOCN膜。
12.根据权利要求1至11中的任一项所述的蚀刻方法,其特征在于,
一边在所述腔室内将所述基板进行加热,一边对所述腔室内进行抽真空,由此进行对所述反应生成物进行加热来去除所述反应生成物的工序。
13.根据权利要求1至11中的任一项所述的蚀刻方法,其特征在于,
在与所述腔室不同的腔室内进行对所述反应生成物进行加热来去除所述反应生成物的工序。
14.一种蚀刻装置,选择性地蚀刻含Si和O的材料,所述蚀刻装置具备:
腔室,其收容基板,该基板具有含Si和O的材料;
载置台,其在所述腔室内载置所述基板;
气体供给部,其向所述腔室内供给碱性气体和含氟气体;
排气部,其对所述腔室内进行排气;
调温部,其调节所述载置台上的基板的温度;以及
控制部,
其中,所述控制部控制所述气体供给部、所述排气部以及所述调温部,以使得重复先开始的供给所述碱性气体的第一期间和接着开始的供给所述含氟气体的第二期间,使所述第二期间的至少一部分不与所述第一期间重叠,并且对通过供给所述碱性气体和所述含氟气体而生成的反应生成物进行加热来去除该反应生成物。
15.根据权利要求14所述的蚀刻装置,其特征在于,
所述含氟气体为从HF气体、F2气体、ClF3气体、NF3气体中选择出的至少一种气体,所述碱性气体为从NH3气体和胺气中选择出的至少一种气体。
16.根据权利要求15所述的蚀刻装置,其特征在于,
所述含氟气体为HF气体,所述碱性气体为NH3气体,所述反应生成物为氟硅酸铵。
17.根据权利要求16所述的蚀刻装置,其特征在于,
所述控制部通过所述调温部将所述基板的温度控制为80℃以下。
18.根据权利要求17所述的蚀刻装置,其特征在于,
所述控制部通过所述调温部将所述基板的温度控制为60℃~80℃的范围。
19.根据权利要求14至18中的任一项所述的蚀刻装置,其特征在于,
所述基板还具有含Si和、N和/或C的材料,相对于所述含Si和、N和/或C的材料选择性地蚀刻所述含Si和O的材料。
20.根据权利要求19所述的蚀刻装置,其特征在于,
所述含Si和O的材料为SiO2膜,所述含Si和、N和/或C的材料为SiN膜或SiOCN膜。
CN202110498711.6A 2020-05-15 2021-05-08 蚀刻方法和蚀刻装置 Pending CN113675080A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020-085747 2020-05-15
JP2020085747A JP2021180281A (ja) 2020-05-15 2020-05-15 エッチング方法およびエッチング装置

Publications (1)

Publication Number Publication Date
CN113675080A true CN113675080A (zh) 2021-11-19

Family

ID=78510452

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110498711.6A Pending CN113675080A (zh) 2020-05-15 2021-05-08 蚀刻方法和蚀刻装置

Country Status (5)

Country Link
US (2) US11791175B2 (zh)
JP (1) JP2021180281A (zh)
KR (1) KR20210141361A (zh)
CN (1) CN113675080A (zh)
TW (1) TW202207301A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023087228A (ja) * 2021-12-13 2023-06-23 東京エレクトロン株式会社 ガス処理方法およびガス処理装置
WO2023152941A1 (ja) * 2022-02-14 2023-08-17 株式会社日立ハイテク エッチング処理方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150072533A1 (en) * 2013-09-12 2015-03-12 Tokyo Electron Limited Etching Method, Etching Apparatus, and Storage Medium
TW201539569A (zh) * 2013-12-26 2015-10-16 Tokyo Electron Ltd 蝕刻方法,記憶媒體及蝕刻裝置
US9564341B1 (en) * 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
CN108352309A (zh) * 2015-11-05 2018-07-31 东京毅力科创株式会社 基板处理方法和基板处理装置
CN110581067A (zh) * 2018-06-08 2019-12-17 东京毅力科创株式会社 蚀刻方法及蚀刻装置
WO2020054476A1 (ja) * 2018-09-13 2020-03-19 セントラル硝子株式会社 シリコン酸化物のエッチング方法及びエッチング装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4833512B2 (ja) 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
US20050269294A1 (en) * 2004-06-08 2005-12-08 Tokyo Electron Limited Etching method
JP5260861B2 (ja) * 2006-11-29 2013-08-14 東京エレクトロン株式会社 キャパシタ電極の製造方法と製造システムおよび記録媒体
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
JP5084250B2 (ja) 2006-12-26 2012-11-28 東京エレクトロン株式会社 ガス処理装置およびガス処理方法ならびに記憶媒体
JP2017152531A (ja) 2016-02-24 2017-08-31 東京エレクトロン株式会社 基板処理方法
JP6981267B2 (ja) 2018-01-17 2021-12-15 東京エレクトロン株式会社 エッチング方法及びエッチング装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150072533A1 (en) * 2013-09-12 2015-03-12 Tokyo Electron Limited Etching Method, Etching Apparatus, and Storage Medium
TW201539569A (zh) * 2013-12-26 2015-10-16 Tokyo Electron Ltd 蝕刻方法,記憶媒體及蝕刻裝置
US9564341B1 (en) * 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
CN108352309A (zh) * 2015-11-05 2018-07-31 东京毅力科创株式会社 基板处理方法和基板处理装置
CN110581067A (zh) * 2018-06-08 2019-12-17 东京毅力科创株式会社 蚀刻方法及蚀刻装置
WO2020054476A1 (ja) * 2018-09-13 2020-03-19 セントラル硝子株式会社 シリコン酸化物のエッチング方法及びエッチング装置

Also Published As

Publication number Publication date
US11791175B2 (en) 2023-10-17
US20210358772A1 (en) 2021-11-18
TW202207301A (zh) 2022-02-16
US20230395400A1 (en) 2023-12-07
JP2021180281A (ja) 2021-11-18
KR20210141361A (ko) 2021-11-23

Similar Documents

Publication Publication Date Title
CN110581067B (zh) 蚀刻方法及蚀刻装置
CN109216186B (zh) 蚀刻方法和残渣去除方法
US9171734B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US8349401B2 (en) Film formation apparatus and method for using same
TWI430364B (zh) 薄膜形成裝置及使用其之方法
US8697578B2 (en) Film formation apparatus and method for using same
US20230395400A1 (en) Etching method and etching apparatus
US11664217B2 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
JP2016025195A (ja) エッチング方法
CN110783188A (zh) 蚀刻方法和蚀刻装置
CN112635317A (zh) 蚀刻方法、损伤层的去除方法和存储介质
WO2015186461A1 (ja) エッチング方法
WO2023112423A1 (ja) ガス処理方法およびガス処理装置
WO2022102421A1 (ja) エッチング方法およびエッチング装置
US12009201B2 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR20220109437A (ko) 에칭 방법 및 에칭 장치
JP2024062579A (ja) 基板処理方法及び基板処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination