CN114512398A - 基板处理方法和基板处理系统 - Google Patents

基板处理方法和基板处理系统 Download PDF

Info

Publication number
CN114512398A
CN114512398A CN202111326876.1A CN202111326876A CN114512398A CN 114512398 A CN114512398 A CN 114512398A CN 202111326876 A CN202111326876 A CN 202111326876A CN 114512398 A CN114512398 A CN 114512398A
Authority
CN
China
Prior art keywords
film
substrate
recess
chamber
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202111326876.1A
Other languages
English (en)
Inventor
熊谷圭惠
须田隆太郎
户村幕树
大内健次
村上博纪
加贺谷宗仁
酒井宗一朗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN114512398A publication Critical patent/CN114512398A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Abstract

本发明提供一种基板处理方法和基板处理系统。基板处理方法包括将具有形成有凹部的第一膜和掩模的基板搬入第一腔室内的工序、将设基板的温度为200℃以上的工序、向第一腔室内供给含硅反应种并使含硅反应种吸附于凹部的侧壁的工序、向第一腔室内供给含氮反应种来在凹部的侧壁形成第二膜的工序、将基板搬入第二腔室内的工序、将基板的温度设为100℃以下的工序以及对凹部的底部进行蚀刻的工序。另外,第二膜的膜厚为20nm以下,凹部的底部的膜厚与凹部的上部侧壁的膜厚之比为0.7以上。另外,按照所记载的顺序重复执行上述各工序,直至从掩模的开口部起至凹部的底部为止的深度尺寸与掩模的开口尺寸之比成为50以上。

Description

基板处理方法和基板处理系统
技术领域
本公开的各种方面和实施方式涉及一种基板处理方法和基板处理系统。
背景技术
伴随半导体装置的集成不仅在水平方向还在垂直方向上取得进展,在半导体装置的制造过程中形成的图案的深宽比也变大。例如,在3D NAND的制造中,在将大量的金属布线层贯穿的方向上形成通道孔。如果是在形成64层的存储器单元的情况下,则通道孔的深宽比可达45。
提出有各种用于高精度地形成深宽比高的图案的方法。例如,提出有一种通过对形成于基板的电介质材料的开口重复执行蚀刻和成膜来抑制向横向的蚀刻的方法(专利文献1)。另外,提出有一种将蚀刻和成膜进行组合来形成用于防止电介质层的横向上的蚀刻的保护膜的方法(专利文献2)。
现有技术文献
专利文献
专利文献1:美国专利申请公开第2016/0343580号说明书
专利文献2:美国专利申请公开第2018/0174858号说明书
发明内容
发明要解决的问题
本公开提供一种能够抑制半导体图案的形状异常的技术。
用于解决问题的方案
本公开的一个方面是一种基板处理方法,包括工序a)、工序b)、工序c)、工序d)、工序e)以及工序f)。在工序a)中,将基板搬入第一腔室内,所述基板具有第一膜和掩模,第一膜为处理对象,在第一膜形成有凹部,掩模设置于第一膜上,在掩模的与凹部对应的位置处形成有开口。在工序b)中,将基板的温度调整为200℃以上,在工序c)中,在凹部的侧壁形成第二膜,该第二膜为氮化硅膜。在工序d)中,将基板搬入与第一腔室不同的第二腔室内。在工序e)中,将基板的温度调整为100℃以下。在工序f)中,对凹部的底部进行蚀刻。另外,工序c)包括工序c-1)和工序c-2)。在工序c-1)中,通过向第一腔室内供给含硅反应种,来使含硅反应种吸附于凹部的侧壁。在工序c-2)中,向第一腔室内供给含氮反应种,使吸附于凹部的侧壁的含硅反应种与含氮反应种进行反应,由此在凹部的侧壁形成第二膜。另外,第二膜的膜厚为20nm以下,第二膜的处于凹部的底部的膜厚与第二膜的处于凹部的上部侧壁的膜厚之比为0.7以上。另外,按照a)~f)的顺序将该a)~f)重复执行两次以上,直至第一深宽比成为50以上,所述第一深宽比为从掩模的开口部起至凹部的底部为止的深度尺寸与掩模的开口尺寸之比。
发明的效果
根据本公开的各种方面和实施方式,能够抑制半导体图案的形状异常。
附图说明
图1是表示本公开的第一实施方式中的基板处理方法的一例的流程图。
图2是表示第一实施方式的基板处理方法中的处理过程的一例的图。
图3是表示凹部的深度方向上的CD(Critical Dimension:临界尺寸)的分布的一例的图。
图4是表示基板处理系统的一例的概要俯视图。
图5是表示蚀刻装置的一例的概要截面图。
图6是表示成膜装置的一例的概要截面图。
图7是表示第二实施方式的基板处理方法中的处理过程的一例的图。
图8是表示第二实施方式的基板处理方法中的处理过程的其它例的图。
图9是表示第二实施方式的变形例中的基板处理方法的一例的流程图。
图10是表示第二实施方式的变形例的基板处理方法中的处理过程的一例的图。
图11是表示第二实施方式的变形例的基板处理方法中的处理过程的其它例的图。
图12是表示第三实施方式中的基板处理方法的一例的流程图。
图13是表示第三实施方式的基板处理方法中的处理过程的一例的图。
图14是表示凹部的深度方向上的CD的分布的一例的图。
图15是表示处理后的凹部的截面的一例的图。
图16是表示第四实施方式中的基板处理方法的一例的流程图。
图17是表示第四实施方式的基板处理方法中的处理过程的一例的图。
图18是表示第五实施方式的基板处理方法中的一例的流程图。
图19是表示第五实施方式的基板处理方法中的处理过程的一例的图。
图20是表示第六实施方式中的基板处理方法的一例的流程图。
图21是表示ESC的区的一例的图。
图22是表示ESC的区的其它例的图。
图23是表示ESC的区的其它例的图。
图24是表示第一温度条件下的凹部的深度方向上的CD的分布的一例的图。
图25是表示第二温度条件下的凹部的深度方向上的CD的分布的一例的图。
图26是将图24和图25的结果进行了总结的图。
图27是表示第七实施方式的基板处理方法中的处理过程的一例的图。
具体实施方式
下面,基于附图来详细地说明所公开的基板处理方法和基板处理系统的实施方式。此外,并不通过以下的实施方式来限定所公开的基板处理方法和基板处理系统。另外,各实施方式在不使处理内容矛盾的范围内能够适当地进行组合。
另外,已知在对深宽比高的图案进行蚀刻时会产生形状异常。例如,在沿纵向形成开口时,有时产生内周面向横向鼓起的形状异常。这样的形状异常被称作拱起。提出有一种在开口侧壁形成保护膜以抑制形状异常的产生的方法。在微细的图案形成中,还期望防止由于保护膜将开口堵塞、在开口底部进行成膜而使蚀刻速率下降等。
此外,在以下的说明中,“图案”是指形成在基板上的形状总体。图案例如指孔、沟槽、线宽和间距等形成于基板上的多个形状总体。另外,“凹部”是指形成于基板上的图案中的、沿基板的厚度方向凹陷的形状的部分。另外,凹部具有凹陷形状的内周面即“侧壁”、凹陷形状的底部分即“底部”以及与侧壁连续的、侧壁附近的基板表面即“顶部”。另外,将被顶部包围的空间称作“开口”。此外,“开口”这个用语也用于指代被凹部的底部和侧壁包围的空间整体或者空间的任意位置。凹部是半导体图案的一例。
(第一实施方式)
[基板处理方法]
图1是表示本公开的第一实施方式中的基板处理方法的一例的流程图。图1中例示的处理例如由图4中后述的基板处理系统1执行。此外,下面参照图2来说明图1中例示的处理。
首先,将作为处理对象的基板W搬入后述的蚀刻装置12(S10)。在步骤S10中,例如如图2的(A)所示,将在作为蚀刻对象的膜EL上层叠形成有预先决定的图案的掩模MA的基板W搬入蚀刻装置12内。膜EL为第一膜的一例。另外,蚀刻装置12为第二腔室的一例。在本实施方式中,膜EL为具有氧化硅膜和氮化硅膜的多层膜。另外,膜EL也可以为含硅膜或有机膜。作为含硅膜,例如能够列举氮化硅膜、多晶硅膜、含碳硅膜或者硅锗膜等。另外,含硅膜也可以为包括多晶硅膜、氧化硅膜以及氮化硅膜中的至少两种膜的层叠膜。
接着,将基板W的温度调整为100℃以下的预先决定的温度(S11)。在步骤S11中,将基板W的温度例如调整为0℃。
接着,沿掩模MA的形状在膜EL的厚度方向上对膜EL进行蚀刻(S12)。由此,沿掩模MA的开口在膜EL形成例如如图2的(B)那样的凹部。
接着,通过基板处理系统1的控制装置来判定是否将步骤S12的处理执行了预先决定的时间(S13)。在未将步骤S12的处理执行预先决定的时间的情况下(S13:“否”),再次执行步骤S12所示的处理。当步骤S12的执行时间变长时,不仅凹部的深度增加,还在凹部的侧壁产生拱起等形状异常。步骤S13中的预先决定的时间为不使形成于膜EL的凹部的侧壁产生拱起等形状异常的范围的时间。
另一方面,在将步骤S12的处理执行了预先决定的时间的情况下(S13:“是”),将基板W从蚀刻装置12搬出。然后,将基板W搬入后述的成膜装置14内(S14)。成膜装置14是第一腔室的一例。另外,步骤S14是工序a)和工序h)的一例。
接着,将基板W的温度调整为200℃以上的预先决定的温度(S15)。在步骤S15中,将基板W的温度例如调整为300℃。步骤S15是工序b)和工序i)的一例。
接着,在凹部的表面形成保护膜(S16)。在本实施方式中,保护膜例如为氮化硅膜。保护膜是第二膜的一例。另外,步骤S16是工序c)和工序j)的一例。
在步骤S16中,首先,向基板W的表面供给含硅反应种(前体)的气体(S160)。步骤S160是工序c-1)的一例。由此,前体的分子吸附于基板W的表面。作为前体的气体,使用氨基硅烷系的气体,例如SiCl4、二氯硅烷(DCS)或六氯二硅烷(HCDS)等的气体。
此外,也可以是,在开始进行步骤S160之前,在成膜装置14内将包含氮和氢的气体进行等离子体化,由此进行使基板W的表面以氨基为终端的处理。由此,能够使前体的分子更高效地吸附于基板W的表面。使基板W的表面以氨基为终端的处理是工序c-0)的一例。
接着,通过吹扫气体来对基板W的表面进行吹扫(S161)。由此,去除过度地吸附于基板W的表面的前体的分子。作为吹扫气体,例如使用氩气等稀有气体、氮气等非活性气体。
接着,向基板W的表面供给含氮反应种的气体(反应气体)(S162)。步骤S162是工序c-2)的一例。由此,使吸附于基板W的表面的前体的分子氮化,来在基板W的表面形成保护膜。作为反应气体,使用N2、NH3等气体。此外,可以通过将反应气体进行等离子体化来生成含氮反应种的气体。由此,能够生成反应性更高的含氮反应种,能够缩短形成保护膜所需要的时间。另外,在图案为孔的情况下,反应气体的分子难以到达图案的底部。在这样的情况下,在工序c-2)中也可以向载置基板W的下部电极施加偏置电压。由此,能够使反应气体的分子到达图案的底部。
接着,通过吹扫气体来对基板W的表面进行吹扫(S163)。由此,将过度供给的反应气体排出。
接着,通过基板处理系统1的控制装置来判定是否将步骤S16的处理执行了预先决定的时间(S17)。在未将步骤S16的处理执行预先决定的时间的情况下(S17:“否”),再次执行步骤S16所示的处理。步骤S17中的预先决定的时间是使形成于凹部的侧壁的保护膜成为预先决定的厚度的时间。在本实施方式中,预先决定的厚度例如为20nm以下的厚度。优选的是,预先决定的厚度例如可以为10nm以下的厚度。进一步优选的是,预先决定的厚度例如可以为5nm以下的厚度。由此,能够削减形成保护膜所需要的时间。另外,形成于凹部的底部侧的保护膜的厚度与形成于凹部的上部侧的保护膜的厚度之比优选为0.7以下。
另一方面,在将步骤S16的处理执行了预先决定的时间的情况下(S17:“是”),将基板W从成膜装置14搬出。由此,例如如图2的(C)那样在膜EL的凹部的表面形成保护膜PF。然后,将基板W再次搬入蚀刻装置12内(S18)。步骤S18是工序d)的一例。
在此,在本实施方式中,膜EL是氧化硅膜和氮化硅膜的多层膜,相比于膜EL而言,含硅反应种的分子更容易吸附于掩模MA。因此,例如如图2的(c)所示那样,形成于掩模MA的表面的保护膜PF比形成于膜EL的表面的保护膜PF更厚。此外,在本实施方式中,例如通过CVD(Chemical Vapor Deposition:化学气相沉积)来形成膜EL,通过ALD(Atomic LayerDeposition:原子层沉积)来形成保护膜PF。因此,在膜EL所具有的氮化硅膜和作为氮化硅膜的保护膜PF之间,硅原子和氮原子的含有比率、膜密度以及晶体结构中的至少某一方不同。
接着,将基板W的温度调整为100℃以下的预先决定的温度(S19)。在步骤S19中,将基板W的温度例如调整为0℃。步骤S19是工序e)的一例。
接着,沿掩模MA的形状对形成于膜EL的凹部的底部进一步进行蚀刻(S20)。步骤S20是工序f)和工序k)的一例。然后,通过控制装置来判定是否将步骤S20的处理执行了预先决定的时间(S21)。在未将步骤S20的处理执行预先决定的时间的情况下(S21:“否”),再次执行步骤S20所示的处理。当步骤S20的执行时间变长时,不仅凹部的深度增加,凹部的侧壁还产生形状异常。步骤S20中的预先决定的时间是不使在形成于膜EL的凹部的侧壁产生拱起等形状异常的范围的时间。
另一方面,在将步骤S20的处理执行了预先决定的时间的情况下(S21:“是”),将基板W从蚀刻装置12搬出(S22)。由此,沿掩模MA的开口在膜EL形成例如如图2的(D)那样的凹部。然后,本流程图所示的基板处理方法结束。
此外,也可以将步骤S14~S21按照所记载的顺序重复执行两次以上。由此,能够在抑制凹部的形状异常的同时在膜EL形成深宽比(A/R)高的凹部。另外,在重复执行步骤S14~S21的情况下,进行控制以使后执行的步骤S14~S21中的供给含硅反应种的时间和供给含氮反应种的时间中的至少某一时间比先执行的步骤S14~S21中的该时间长。
[凹部的CD]
图3是表示凹部的深度方向上的CD的分布的一例的图。关于凹部的深度,例如如图3的(A)所示,以掩模MA与膜EL的边界为基准,将膜EL侧定义为负的深度,将掩模MA侧定义为正的深度。
图3的(B)的比较例表示在不形成保护膜PF的情况下对凹部进行了蚀刻时的凹部的深度方向上的CD的分布。在比较例中,在掩模MA与膜EL的边界附近,CD变大。另外,随着凹部的深度向负方增加,CD减少。如果将矩形状的截面形状视作凹部的理想的形状,则比较例中的凹部的截面形状相对于凹部的理想的形状而言为异常的形状。
另一方面,在通过本实施方式的方法形成的凹部中,例如如图3的(B)所示,掩模MA与膜EL的边界附近处的CD的增加被抑制得相比于比较例中的该CD的增加小。另外,在本实施方式中也是,随着凹部的深度向负方向增加,CD减少,但该减少量被抑制得相比于比较例中的减少量小。即,通过本实施方式的方法抑制了凹部的形状异常。
[基板处理系统1的结构]
图1中例示的处理由例如如图4所示的基板处理系统1执行。图4是表示基板处理系统1的一例的概要俯视图。在图4中,为了方便说明,将一部分装置的上部描绘为透明的。本实施方式中的基板处理系统1为多腔室类型的真空处理系统。基板处理系统1使用多个蚀刻装置12和成膜装置14在作为处理对象的基板W形成作为预先决定的图案的凹部。
基板处理系统1具备主体10和控制主体10的各部的控制装置100。主体10具有真空搬送室11。真空搬送室11的平面形状为六边形,在真空搬送室11的两个侧壁分别经由闸阀G1连接有多个蚀刻装置12。另外,在真空搬送室11的另一个侧壁经由闸阀G2连接有真空搬送室13。另外,在真空搬送室11的另一个侧壁经由闸阀G4连接有保管室15。另外,在真空搬送室11的其余两个侧壁经由闸阀G5连接有加载互锁室16。
真空搬送室11内通过真空泵被进行排气,来被保持为预先决定的真空度。在真空搬送室11内设置有机械臂等搬送装置110。搬送装置110沿设置于真空搬送室11内的导轨111在真空搬送室11内移动。搬送装置110在蚀刻装置12、真空搬送室13、保管室15以及加载互锁室16之间搬送基板W。搬送装置110是第二搬送装置的一例。
各个蚀刻装置12是单片式的蚀刻装置,用于沿设置于基板W的掩模MA的形状对掩模MA的下层的膜EL进行蚀刻。在真空搬送室13经由闸阀G3连接有成膜装置14。在真空搬送室13内设置有用于搬送基板W的机械臂等搬送装置13a。搬送装置13a在真空搬送室11与成膜装置14之间搬送基板W。搬送装置13a在真空搬送室11内或真空搬送室13内从搬送装置110接受基板W,将基板W配置到设置于成膜装置14内的规定的晶圆舟144。搬送装置13a是第一搬送装置的一例。
成膜装置14在基板W的凹部形成保护膜PF。在本实施方式中,成膜装置14为能够对多个基板W成批地进行保护膜PF的成膜的批量式的成膜装置。多个基板W例如以沿铅垂方向排列的方式收容在成膜装置14内。由此,能够削减成膜装置14的封装。此外,也可以是,多个基板W以沿铅垂方向以外的方向排列的方式收容在成膜装置14内。搬送装置13a能够使基板W沿铅垂方向移动。通过重复执行利用蚀刻装置12进行的膜EL的蚀刻和利用成膜装置14进行的保护膜PF的形成,来在基板W的膜EL形成抑制了形状异常的A/R高的凹部。
通过蚀刻装置12进行了蚀刻的基板W在向成膜装置14内搬入之前被暂时保管在保管室15内。另外,通过成膜装置14形成有保护膜PF的基板W在向蚀刻装置12内搬入之前被暂时保管在保管室15内。
各个加载互锁室16经由闸阀G6而与大气搬送室17连接。在大气搬送室17的侧面设置有用于安装承载件C的多个端口18,该承载件C为用于收容基板W的FOUP(Front-OpeningUnified Pod:前开式晶圆传送盒)等。另外,在大气搬送室17的侧壁设置有用于进行基板W的对准的对准室17c。另外,在大气搬送室17内形成洁净空气的下降流。
在大气搬送室17内设置有机械臂等搬送装置17a。搬送装置17a沿设置于大气搬送室17内的导轨17b在大气搬送室17内移动。搬送装置17a在各个承载件C、各个加载互锁室16以及对准室17c之间搬送基板W。
控制装置100具有存储器、处理器以及输入输出接口。在存储器中保存有由处理器执行的程序和包括各处理的条件的制程等。处理器执行从存储器读出的程序,基于存储器内存储的制程,经由输入输出接口来控制主体10的各部。
[蚀刻装置12的结构]
图5是表示蚀刻装置12的一例的概要截面图。在本实施方式中,蚀刻装置12为具备平行板的电极的单片式的等离子体蚀刻装置。蚀刻装置12具有大致圆筒形状的处理容器120。处理容器120划分出处理空间Sp。处理容器120例如由铝等构成,其内壁面被实施了阳极氧化处理。处理容器120被安全接地。
在处理容器120的底部上设置有大致圆筒状的支承构件121。支承构件121例如由如石英那样能够包含氧的绝缘材料等构成。支承构件121在处理容器120内从处理容器120的底部起例如沿铅垂方向延伸。在处理容器120内设置有载置台PD。载置台PD被支承构件121支承。
关于载置台PD,在载置台PD的上表面保持基板W。通过蚀刻装置12被蚀刻的基板W的面FW为与载置台PD的上表面接触的基板W的背面的相反一侧的面,并且基板W的面FW与上部电极130相面对。载置台PD具有下部电极LE和静电吸盘ESC。下部电极LE包括大致圆盘形状的第一板118a和第二板118b。第一板118a和第二板118b例如由铝等金属构成。第二板118b设置于第一板118a上,第二板118b与第一板118a电连接。
在第二板118b上设置有静电吸盘ESC。静电吸盘ESC为将作为导电膜的电极配置于一对绝缘层之间或一对绝缘板之间的构造。静电吸盘ESC的电极经由开关123而与直流电源122电连接。基板W在被载置于载置台PD的情况下,通过基板W的背面(与面FW相反一侧的面)来与静电吸盘ESC接触。静电吸盘ESC通过利用来自直流电源122的直流电压产生的库伦力等静电力来吸附基板W。由此,静电吸盘ESC能够保持基板W。
在第二板118b的周缘部上,以包围基板W的边缘和静电吸盘ESC的方式配置有边缘环ER。边缘环ER是为了提高蚀刻的均匀性而设置的。边缘环ER由根据作为蚀刻对象的膜的材料适当地选择的材料构成,例如能够由硅、石英构成。
在第二板118b的内部设置有流路124。从设置于处理容器120的外部的未图示的冷却装置经由配管126a向流路124供给制冷剂。经由配管126a被供给至流路124的制冷剂经由配管126b返回冷却装置。由此,通过冷却装置被进行了温度控制的制冷剂在流路124内循环。通过控制制冷剂的温度,能够经由静电吸盘ESC控制基板W的温度。
在载置台PD设置有配管128。配管128用于将来自未图示的传热气体供给机构的传热气体供给至静电吸盘ESC与基板W之间。关于传热气体,例如能够使用氦气等。
在载置台PD设置有用于调节基板W的温度的加热器HT。加热器HT被内置于静电吸盘ESC。加热器HT与加热器电源HP连接。通过从加热器电源HP向加热器HT供给电力,来调整静电吸盘ESC的温度,进而调整被载置于静电吸盘ESC上的基板W的温度。此外,加热器HT可以被埋入第二板118b内。在进行基板W的蚀刻的情况下,加热器HT针对在流路124中流动的制冷剂产生的冷却量来控制加热量,由此将基板W的温度调整为100℃以下,例如0℃等。加热器HT为第二温度调整部的一例。
在载置台PD的上方设置有上部电极130。上部电极130以与载置台PD相向的方式配置在载置台PD的上方。下部电极LE和上部电极130彼此大致平行地设置来构成平行板电极。在上部电极130与下部电极LE之间提供有用于对基板W进行处理的处理空间Sp
上部电极130经由绝缘构件132被支承于处理容器120的上部。绝缘构件132例如由如石英等那样能够包含氧的绝缘材料构成。上部电极130具有电极板134和电极支承体136。电极板134与处理空间Sp相面对,在电极板134形成有多个气体喷出口134a。电极板134例如包含硅。电极板134例如可以为硅。
电极支承体136例如由铝等导电性材料构成,将电极板134以装卸自如的方式支承。电极支承体136能够具有水冷构造。在电极支承体136的内部设置有气体扩散室136a。气体扩散室136a经由与气体喷出口134a连通的多个气体流通口136b而与处理空间Sp连通。气体扩散室136a经由气体导入口136c而与配管138连接。配管138与气体供给部150连接。
主体10具有第一RF(Radio Frequency:射频)电源162和第二RF电源164。第一RF电源162为产生用于生成等离子体的第一RF电力的电源,产生27MHz~100MHz的频率的电力,在一例中为60MHz的RF电力。另外,第一RF电源162将所产生的RF电力脉冲状地输出。例如能够在0.1kHz~50kHz的范围内控制脉冲的频率,例如能够在5%~100%的范围内控制脉冲的占空比。第一RF电源162经由匹配器166而与下部电极LE连接。匹配器166为用于使第一RF电源162的输出阻抗与负载侧(下部电极LE侧)的输入阻抗匹配的电路。此外,第一RF电源162可以经由匹配器166而与上部电极130连接。
第二RF电源164为产生用于向基板W吸引离子的第二RF波电力、即RF偏置电力的电源,产生400kHz~40.68MHz的范围内的频率,在一例中为13.56MHz的频率的RF偏置电力。另外,第二RF电源164将所产生的RF偏置电力脉冲状地输出。例如能够在0.1kHz~50kHz的范围内控制脉冲的频率,例如能够在5%~100%的范围内控制脉冲的占空比。第二RF电源164经由匹配器168而与基板处理系统1LE连接。匹配器168为用于使第二RF电源164的输出阻抗与负载侧(下部电极LE侧)的输入阻抗匹配的电路。
上部电极130的电极支承体136与电源160连接。电源160向上部电极130施加用于向电极板134吸引存在于处理空间Sp内的正离子的电压。电源160例如为产生负的直流电压的直流电源。当从电源160向上部电极130施加这样的电压时,存在于处理空间Sp的正离子撞击电极板134。由此,能够从电极板134发射二次电子、硅或者这两方。
在处理容器120的底部侧且支承构件121与处理容器120的侧壁之间设置有例如通过在铝材上覆盖Y2O3等陶瓷而构成的排气板155。在排气板155的下方且处理容器120的底部形成有排气口120e。排气口120e经由排气管157而与排气装置158连接。排气装置158具有涡轮分子泵等真空泵,能够将处理容器120内的空间减压至预先决定的真空度。
在处理容器120的侧壁形成有用于搬入和搬出基板W的开口120g。开口120g通过闸阀G1进行开闭。另外,在处理容器120的侧壁设置有气体导入口157a。气体导入口157a设置于处理容器120内的被配置在载置台PD上的基板W的侧方。气体导入口157a经由配管139而与气体供给部150连接。气体供给部150是第二气体供给部的一例。
气体供给部150具有气体源组151、流量控制器组152以及阀组153。气体源组151具有多个气体源。多个气体源能够包括碳氟化合物系气体(CxFy气体(x、y为1~10的整数))源和非活性气体源等各种气体源。作为非活性气体,能够使用氮气、氩气、氦气之类的任意的气体。
流量控制器组152包括质量流量控制器等多个流量控制器,阀组153包括多个阀。气体源组151中包括的多个气体源各自经由流量控制器组152中包括的对应的流量控制器及阀组153中包括的对应的阀而与配管138及配管139连接。由此,主体10能够将从选自气体源组151的多个气体源的一个以上的气体源供给的气体以单独被调整后的流量经由配管138和配管139供给至处理容器120内的处理空间Sp
在处理容器120的内侧壁以装卸自如的方式设置有沉积物屏蔽件154。在支承构件121的外周也设置有沉积物屏蔽件154。沉积物屏蔽件154用于防止蚀刻副产物(沉积物)附着于处理容器120内的构件。沉积物屏蔽件154能够通过在由铝等构成的构件上覆盖Y2O3等陶瓷来构成。沉积物屏蔽件154除了Y2O3以外例如还能够由如石英那样包含氧的材料构成。
[成膜装置14的结构]
图6是表示成膜装置14的一例的概要截面图。图6中还一并示出真空搬送室13。
在真空搬送室13设置有搬送装置13a。搬送装置13a能够使基板W沿与水平面交叉的方向(例如铅垂方向)移动。
成膜装置14具有有顶的大致圆筒状的外壁140和设置于外壁140的内侧的内壁141。外壁140和内壁141例如由石英等形成。在外壁140与内壁141之间形成有环状空间143。外壁140及内壁141与基底构件145接合。
在内壁141的内侧的处理室142设置有晶圆舟144。晶圆舟144将多个基板W以在与水平面交叉的方向(例如铅垂方向)上排列的方式保持。由此,成膜装置14能够对多个基板W成批地形成保护膜PF。另外,通过将多个基板W以在与水平面交叉的方向(例如铅垂方向)上排列的方式保持,能够削减成膜装置14的封装。
经由配管148a向内壁141的内侧的处理室142内供给气体。被供给至处理室142内的气体经由外壁140与内壁141之间的环状空间143从配管148b进行排气。
配管148a与气体供给部146连接。气体供给部146是第一气体供给部的一例。气体供给部146具有多个阀1460a~1460c、多个MFC(Mass Flow Controller:质量流量控制器)1461a~1461c以及多个气体源1462a~1462c。气体源1462a是前体的气体的供给源。气体源1462b是吹扫气体的供给源。气体源1462c是反应气体的供给源。在本实施方式中,前体的气体例如为SiCl4、二氯硅烷(DCS)或六氯二硅烷(HCDS)等包括含硅反应种的气体。另外,在本实施方式中,吹扫气体例如为氩气等稀有气体、氮气等非活性气体。另外,在本实施方式中,反应气体例如为N2、NH3等包括含氮反应种的气体。
在内壁141设置有加热器147。在保护膜PF的成膜期间,加热器147将基板W的温度例如调整为200℃以上。在本实施方式中,在保护膜PF的成膜期间,加热器147将基板W的温度例如调整为300℃。加热器147是第一温度调整部的一例。
以上说明了第一实施方式。如上述那样,本实施方式的基板处理方法包括工序a)、工序b)、工序c)、工序d)、工序e)以及工序f)。在工序a)中,将在作为处理对象的膜EL形成有凹部的基板W搬入成膜装置14内。在工序b)中,将基板W的温度调整为200℃以上。在工序c)中,在凹部的侧壁形成保护膜PF,该保护膜PF为氮化硅膜。在工序d)中,将基板W搬入与成膜装置14不同的蚀刻装置12内。在工序e)中,将基板W的温度调整为100℃以下。在工序f)中,对凹部的底部进行蚀刻。由此,能够抑制形成于基板W的半导体图案的形状异常。
另外,在上述的实施方式中,工序c)包括工序c-1)和工序c-2)。在工序c-1)中,通过向成膜装置14内供给含硅反应种,来使含硅反应种吸附于凹部的侧壁。在工序c-2)中,向成膜装置14内供给含氮反应种,使吸附于凹部的侧壁的含硅反应种与含氮反应种进行反应,由此在凹部的侧壁形成保护膜PF。由此,能够在凹部的侧壁形成预先决定的厚度的保护膜PF。
另外,在上述的实施方式中,含氮反应种可以通过将含氮气体进行等离子体化来生成。由此,能够生成反应性更高的含氮反应种,能够缩短形成保护膜PF所需要的时间。
另外,在上述的实施方式中,在工序c)中,也可以不包括在工序c-1)之前执行的工序c-0)。在工序c-0)中,通过在成膜装置14内将包含氮和氢的气体进行等离子体化来使基板W的表面以氨基为终端。由此,能够使前体的分子更高效地吸附于基板W的表面。
另外,在上述的实施方式中,在工序c)中,优选在凹部的侧壁形成20nm以下的保护膜PF。也可以是,在工序c)中,更优选在凹部的侧壁形成10nm以下的保护膜PF。由此,能够削减形成保护膜PF所需要的时间。
另外,在上述的实施方式中,在基板W的膜EL上设置有掩模MA,在工序c)中,在掩模MA的表面也形成保护膜PF。形成于掩模MA的表面的保护膜PF的厚度比形成于凹部的侧壁的保护膜PF的厚度厚。由此,能够减少进行蚀刻时的掩模MA的减少量。
另外,在上述的实施方式中,膜EL具有氧化硅膜和氮化硅膜,膜EL所具有的氮化硅膜的硅原子及氮原子的含有比率、膜密度以及晶体结构与保护膜PF的硅原子及氮原子的含有比率、膜密度以及晶体结构中的至少某一方不同。
另外,在上述的实施方式中,可以按照工序a)~工序f)的顺序将该工序a)~工序f)重复执行两次以上。由此,能够在抑制凹部的形状异常的同时形成A/R高的凹部。
另外,使用具备能够收容多个基板W的批量式的成膜装置14和单片式的多个蚀刻装置12的基板处理系统1来执行上述的实施方式中的基板处理方法。由此,能够在多个基板W高效地形成预先决定的形状的凹部。
另外,上述的实施方式中的基板处理系统1具备成膜装置14、加热器147、气体供给部146、蚀刻装置12、加热器HT、气体供给部150、搬送装置以及控制装置100。成膜装置14收容在作为处理对象的膜EL形成有凹部的基板W。加热器147调整被收容于成膜装置14内的基板W的温度。气体供给部146向成膜装置14内供给气体。蚀刻装置12收容基板W,该蚀刻装置12与成膜装置14不同。加热器HT调整被收容于蚀刻装置12内的基板W的温度。气体供给部150向蚀刻装置12内供给气体。搬送装置110和搬送装置13a在成膜装置14与蚀刻装置12之间搬送基板W。控制装置100执行工序a)~工序f)。在工序a)中,控制装置100控制搬送装置,以将基板W搬入成膜装置14内。另外,在工序b)中,控制装置100控制加热器147,以使基板W的温度成为200℃以上。另外,在工序c)中,控制装置100控制气体供给部146,以在凹部的侧壁形成作为保护膜PF,该保护膜PF为氮化硅膜。另外,在工序d)中,控制装置100控制搬送装置,以将基板W搬入蚀刻装置12内。另外,在工序e)中,控制装置100控制加热器HT,以使基板W的温度成为100℃以下。另外,在工序f)中,控制装置100控制气体供给部150,以对凹部的底部进行蚀刻。由此,能够抑制形成于基板W的半导体图案的形状异常。
另外,在上述的实施方式中,成膜装置14例如为能够在铅垂方向上收容多个基板W的批量式的成膜装置,蚀刻装置12为单片式的蚀刻装置。由此,能够削减基板处理系统1的封装。
另外,在上述的实施方式中,搬送装置具有搬送装置110和搬送装置13a。搬送装置13a向成膜装置14搬入基板W并从成膜装置14搬出基板W。搬送装置110向蚀刻装置12搬入基板W并从蚀刻装置12搬出基板W。搬送装置13a能够沿铅垂方向搬送基板W。由此,能够削减基板处理系统1的封装。
另外,在上述的实施方式中,基板处理系统1还具备保管室15,在从执行工序c)后至开始工序d)为止的期间,所述保管室15保管被执行工序c)之后的基板W。由此,基板处理系统1能够高效地对多个基板W进行处理。
(第二实施方式)
在第一实施方式中,在形成于基板W的膜EL的凹部的侧壁共形地形成有保护膜PF。与此相对地,在本实施方式中,将处理条件设定为使膜EL的凹部的表面上不完成自控制的吸附或反应。作为处理方式,至少能够列举如下两个方式。
(1)使前体P吸附于膜EL的凹部的整个表面。之后,进行控制以使所导入的反应气体R不遍及凹部的整个表面。
(2)使前体P仅吸附于膜EL的凹部的表面的一部分。之后,使所导入的反应气体仅在吸附有前体P的凹部的表面部分进行反应。
由此,能够在膜EL的凹部的侧壁在凹部的宽度方向上的CD宽的部分形成更厚的保护膜PF,从而能够进一步抑制凹部的形状异常。此外,在本实施方式中,除了以下说明的点以外,通过与图1中例示的基板处理方法同样的方法在凹部的侧壁形成保护膜PF。另外,在本实施方式中也是,通过使用图4~图6所说明的基板处理系统1来进行基板W的处理。
图7是表示第二实施方式的基板处理方法中的处理过程的一例的图。在本实施方式中,首先,向配置有基板W的成膜装置14内导入前体P(图7的(A))。前体P的分子经由形成于基板W的膜EL的开口OP进入凹部内,并吸附于凹部的表面。通过设置足以使前体P的分子附着于凹部的整个表面的处理时间,来使前体P的分子附着于凹部的整个表面(图7的(B))。当前体P的吸附完成时,对基板W的表面进行吹扫。
接着,将反应气体R导入成膜装置14内(图7的(C))。所导入的反应气体R一边与吸附于凹部的表面的前体P进行反应一边进入凹部内。由此,从凹部的上方逐渐形成保护膜PF。在此,在保护膜PF形成到凹部的下部之前,停止供给反应气体R,对基板W的表面进行吹扫。由此,能够使用ALD的方法仅在凹部的侧壁的上部形成保护膜PF(图7的(D)),而不是在凹部的侧壁整体形成保护膜PF。在图7的(D)中,保护膜PF例如形成于掩模MA的表面、以及凹部的侧壁上的掩模MA与膜EL的边界附近,不形成于凹部的侧壁的下部和底部。
图8是表示第二实施方式的基板处理方法中的处理过程的其它例的图。在图8的例子中,使前体P的分子仅吸附于膜EL的上部(图8的(A))。而且,在吹扫前体P的分子之后,将反应气体R导入成膜装置14内(图8的(B))。此时,由于反应气体R仅在吸附有前体P的分子的位置与前体P的分子进行反应,因此仅在凹部的上部形成保护膜PF(图8的(C))。
如上述那样,在本实施方式所涉及的基板处理方法中,使前体P与反应气体R的反应仅在凹部的侧壁的预先决定的部分进行。在该情况下,例如设定使前体P的分子的吸附在凹部的侧壁的上部进行这样的处理条件、或者使反应气体R的反应仅在凹部的侧壁的上部进行这样的处理条件。作为用于设定这样的处理条件的参数,例如能够列举基板W的温度、成膜装置14内的压力、导入的前体P的流量及导入时间、导入的反应气体R的流量及导入时间、处理时间等。另外,在使用等离子体的处理的情况下,也可以调整为了生成等离子体而供给的RF电力的频率、大小。
在上述的第二实施方式中,在形成凹部的宽度随着从凹部的上部去向下部而变小的形状的凹部的情况下,能够通过保护膜PF来实现在抑制侧壁的尺寸变动的同时增大底部的尺寸的控制。另外,下面,对能够进一步提高所形成的凹部的形状控制的自由度的第二实施方式的变形例进行说明。
(变形例中的基板处理方法)
图9是表示第二实施方式中的变形例的基板处理方法的一例的流程图。在图9中,仅图示出与图1中例示的第一实施方式的基板处理方法不同的部分。此外,在本变形例中,在图4中例示的基板处理系统1的保管室15内设置有用于测定凹部的底部的CD的测定装置。
在本变形例中,首先,执行图1中例示的步骤S10~S13的处理。然后,执行图1中例示的步骤S14~S21的处理。而且,将基板W从蚀刻装置12搬出,并搬入保管室15内。然后,通过设置于保管室15内的测定装置来测定凹部的底部的CD(S30)。关于凹部的底部的CD的测定方法并无特别限定,例如可以通过光学方法进行测定。
接着,控制装置100判定测定出的凹部的底部的CD是否为预先决定的值以上(S31)。在凹部的底部的CD小于预先决定的值的情况下(S31:“否”),再次执行步骤S14所示的处理。另一方面,在凹部的底部的CD为预先决定的值以上的情况下(S31:“是”),执行步骤S22所示的处理。
图10是表示第二实施方式的变形例的基板处理方法中的处理过程的一例的图。在第二实施方式的变形例的基板处理方法中,在步骤S10中,例如如图10的(A)所示,将在基材BM上层叠有膜EL且在膜EL上层叠有掩模MA的基板W搬入蚀刻装置12内,在掩模MA形成有预先决定的图案。然后,通过执行步骤S11~S13,例如如图10的(B)所示那样在膜EL形成沿着形成于掩模MA的图案的凹部。在图10的(B)的例子中,凹部的底部到达了基材BM。
然后,通过执行步骤S14~S17在凹部的侧壁形成保护膜PF。此时,以使前体P与反应气体R的反应仅在凹部的侧壁的上部进行这样的处理条件来形成保护膜PF。由此,例如如图10的(C)所示,仅在掩模MA的表面和凹部的侧壁的上部形成保护膜PF。然后,执行S18~S21的处理。
然后,测定凹部的底部的CD,重复步骤S14~S21的处理直至凹部的底部的CD成为预先决定的值以上为止。由此,凹部的截面形状例如成为图10的(D)那样,能够抑制凹部的形状异常。
此外,在图10的例子中,在通过蚀刻使得凹部的底部到达基材BM之后,在凹部的侧壁形成保护膜PF,但公开的技术不限于此。例如,作为其它例,如图11的(A)~(D)中所例示的那样,也可以在通过蚀刻形成的凹部的底部到达基材BM之前在凹部的侧壁形成保护膜PF。
此外,在图11的例子中,在凹部的侧壁的一部分形成保护膜PF,但作为其它例,也可以在凹部的侧壁和底部的整体形成保护膜PF。
(第三实施方式)
在第二实施方式中,通过将前体P和反应气体R中的至少某一方仅供给至凹部的侧壁的预先决定的部分,来使前体P与反应气体R的反应仅在凹部的侧壁的预先决定的部分进行。与此相对地,在本实施方式中,通过预先在凹部的表面的一部分形成用于阻碍前体P的吸附的抑制因子(以下也称作抑制剂。),来控制前体P的吸附位置。由此,能够使前体P吸附于凹部内的形成有抑制剂的位置以外的位置,能够在凹部内的形成有抑制剂的位置以外的位置处形成保护膜PF。此外,在本实施方式中,除了以下说明的点以外,通过与图1中例示的基板处理方法同样的方法在凹部的侧壁形成保护膜PF。另外,在本实施方式中也是,通过使用图4~图6所说明的基板处理系统1来进行基板W的处理。
(基板处理方法)
图12是表示第三实施方式中的基板处理方法的一例的流程图。在本实施方式中,首先,执行图1中例示的步骤S10~S14的处理。而且,在凹部的表面的一部分区域形成抑制层IN来作为抑制因子(S40)。然后,执行图1中例示的步骤S15~S22的处理。
图13是表示第三实施方式的基板处理方法中的处理过程的一例的图。在步骤S40中,例如如图13的(A)所示,向基板W的表面供给抑制因子I的气体。包含抑制因子I的气体例如为包含碳的气体。关于包含碳的气体,例如能够列举碳氟化合物气体、氟卤代碳气体以及碳氢化合物气体等。包含抑制因子I的气体例如被等离子体化并被供给至基板W的表面。由此,例如如图13的(B)所示,在基板W的凹部形成抑制层IN。
在使用碳氟化合物气体来作为包含抑制因子I的气体的情况下,在凹部形成碳氟化合物膜来作为抑制层IN。在使用氟卤代碳气体来作为包含抑制因子I的气体的情况下,在凹部形成氟卤代碳膜来作为抑制层IN。在使用碳氢化合物气体来作为包含抑制因子I的气体的情况下,在凹部形成碳氢化合物膜来作为抑制层IN。碳氟化合物膜、氟卤代碳膜以及碳氢化合物膜均为疏水性的膜。此外,通过调整等离子体CVD的处理条件,能够在凹部的任意区域形成抑制层IN。在图13的(B)的例子中,在掩模MA的上部和凹部的底部形成有抑制层IN。
接着,在步骤S15中,将基板W的温度调整为200℃以上,在步骤S160中,例如如图13的(C)所示,将前体P供给至成膜装置14内。此时,前体P的分子不吸附于形成有抑制层IN的部分。因此,例如如图13的(D)所示,前体P的分子选择性地吸附于未形成抑制层IN的凹部的侧壁。
然后,在步骤S161中,对基板W的表面进行吹扫,在步骤S162中,向成膜装置14内供给反应气体R。由此,选择性地吸附于未形成抑制层IN的凹部的侧壁的前体P的分子与反应气体R进行反应,如图13的(D)所示,在凹部的侧壁形成保护膜PF。此时,通过在反应气体R的分子到达凹部的底部之前在步骤S163中进行吹扫,例如如图13的(D)所示,能够在凹部的侧壁形成越靠凹部的侧壁的上部则越厚、且越靠凹部的侧壁的下部则越薄的保护膜PF。
像这样,在使用抑制因子I形成保护膜PF的情况下,能够进一步调整保护膜PF的形成位置、膜厚。另外,能够通过基板W的温度、成膜装置14内的压力等控制保护膜PF的形成位置。因此,根据本实施方式,能够通过抑制因子I防止在掩模MA的上部形成保护膜PF,从而能够防止形成保护膜PF时的凹部的开口部的堵塞。
[凹部的CD]
图14是表示凹部的深度方向上的CD的分布的一例的图。在图14中,示出初始状态的凹部和形成保护膜PF之后的凹部中的、以掩模MA与膜EL的界面为基准的凹部的深度方向上的CD的分布。此外,在凹部的侧壁(单侧)形成的保护膜PF的成膜量为将初始状态下的CD与形成保护膜PF之后的CD之差除以2所得到的值。在图14的(A)中,虚线表示凹部的初始状态的CD的分布(初始状态)。另外,单点划线表示使用第一实施方式中的基板处理方法在初始状态的凹部形成了保护膜PF的情况下的CD的分布(参考例1)。另外,实线表示在通过等离子体CVD在初始状态的凹部形成抑制层IN之后通过通常的ALD形成了保护膜PF的情况下的CD的分布(参考例2)。另外,在图14的(B)中,虚线表示凹部的初始状态的CD的分布(初始状态)。另外,单点划线表示使用第二实施方式的基板处理方法在初始状态的凹部形成了保护膜PF的情况下的CD的分布(第二实施方式)。另外,实线表示使用第三实施方式所涉及的基板处理方法在初始状态的凹部形成了保护膜PF的情况下的CD的分布(第三实施方式)。
如图14的(A)所示,在使用第一实施方式的基板处理方法形成了保护膜PF的情况下,当使用抑制层IN时,抑制了直至掩模MA的下方约0.6μm的深度位置为止的保护膜PF的形成。但是,在比约0.6μm更深的位置处,大致共形地形成有保护膜PF。另一方面,如图12的(B)所示,在通过第三实施方式中的基板处理方法形成了保护膜PF的情况下,通过使用抑制层IN,将直至掩模MA的下方约0.6μm的深度位置为止的保护膜PF的厚度抑制为约二分之一。另外,在比约0.6μm更深的位置处,与不使用抑制层IN的情况同样地抑制了保护膜PF的形成。像这样,通过使用抑制层IN,能够更精细地抑制凹部的上部的保护膜PF的膜厚。另外,能够维持凹部的深度方向上的保护膜PF的膜厚差。
图15是表示处理后的凹部的截面的一例的图。在初始状态的凹部中,例如如图15的(A)所示,掩模MA的上部附近的开口尺寸约为45nm。与此相对地,在使用第一实施方式的基板处理方法形成了保护膜PF的情况下(参考例1),例如如图15的(B)所示,开口尺寸减少至约30nm。另一方面,在形成了抑制层IN的基础上通过通常的ALD使用第一实施方式中的基板处理方法形成了保护膜PF的情况下(参考例2),例如如图15的(C)所示,开口尺寸维持为约42nm。与此相对地,在通过第二实施方式中的基板处理方法形成了保护膜PF的情况下(第二实施方式),例如如图15的(D)所示,开口尺寸约为21nm。另一方面,在通过第三实施方式中的基板处理方法形成了抑制层IN的基础上通过第二实施方式中的基板处理方法形成了保护膜PF的情况下(第三实施方式),例如如图15的(E)所示,开口尺寸维持为约40nm。像这样,使用抑制层IN来抑制在掩模MA的上部附近形成保护膜PF,由此确认到防止凹部的开口的堵塞的效果。
并且,在第三实施方式中,通过调整抑制层IN的形成位置,能够在任意的位置处形成保护膜PF。因此,能够根据拱起、颈缩等预测会产生的图案的形状异常来调整保护膜PF的膜厚并在期望的位置处形成保护膜PF。另外,通过使抑制层IN的形成位置具有A/R依赖性,能够调整凹部的侧壁上的成膜位置。另外,通过改变抑制层IN的组成,还能够阻碍ALD中的前体P的吸附和反应气体R的吸附中的任一方。例如,如果形成包含碳的抑制层IN,则能够阻碍前体P的氧化,如果形成包含CF的抑制层IN,则能够阻碍前体P的吸附。
(第四实施方式)
在前述的第二实施方式和第三实施方式中,以使覆盖率在A/R高的凹部的深度方向上发生变化的方式形成保护膜PF。与此相对地,在本实施方式中,不仅在A/R高的凹部,还能够在例如A/R小于5的凹部等A/R低的凹部中以使覆盖率在凹部的深度方向上发生变化的方式形成保护膜PF。此外,在以下的说明中,“A/R低”例如指A/R小于5。
(基板处理方法)
图16是表示第四实施方式中的基板处理方法的一例的流程图。此外,在本实施方式中,除了以下说明的点以外,通过与图1中例示的基板处理方法同样的方法在凹部的侧壁形成保护膜PF。另外,在本实施方式中也是,通过使用图4~图6所说明的基板处理系统1来进行基板W的处理。此外,在本实施方式中,在图4中例示的基板处理系统1的保管室15内设置有用于测定凹部的A/R的测定装置。
在本实施方式中,首先,执行图1中例示的步骤S10~S13的处理。由此,例如如图17的(A)所示,在膜EL形成凹部。而且,将基板W搬入保管室15内来测定凹部的A/R。关于凹部的A/R的测定方法并无特别限定,例如可以通过光学方法进行测定。
然后,控制装置100判定测定出的凹部的A/R是否为预先决定的值以上(S50)。在凹部的A/R小于预先决定的值的情况下(S50:“否”),将基板W搬入成膜装置14内。然后,例如如图17的(B)所示,在凹部的上部形成预备膜PC(S51)。此时,使用主要在凹部的上部形成保护膜PF且在凹部的下部和底部难以形成保护膜PF的处理条件来形成保护膜PF。然后,将基板W再次搬入保管室15内,执行步骤S50所示的处理。
另一方面,在凹部的A/R为预先决定的值以上的情况下(S50:“是”),执行图1中例示的步骤S14~S22的处理。例如,通过步骤S14~S17的处理,例如如图17的(C)所示,在凹部的上部形成保护膜PF。然后,通过步骤S18~S21的处理,例如如图17的(D)所示,对凹部的底部进一步进行蚀刻。然后,通过步骤S22搬出基板W,通过未图示的去除装置来去除保护膜PF。由此,凹部的截面例如成为图17的(E)那样。
(第五实施方式)
当进行蚀刻时,形成于膜EL的凹部的深度增加,并且掩模MA的厚度减少。通过在容易发生形状异常的掩模MA与膜EL的边界附近形成保护膜PF,能够抑制凹部的形状异常。但是,当随着蚀刻有进展而掩模MA的厚度发生变化时,掩模MA的上部同掩模MA与膜EL的边界之间的距离发生变化。当根据开始蚀刻前的掩模MA的厚度继续以在掩模MA与膜EL的边界形成保护膜PF的条件形成保护膜PF时,随着蚀刻有进展,形成保护膜PF的位置偏离掩模MA与膜EL的边界。因此,在本实施方式中,根据掩模MA的厚度来变更形成保护膜PF的处理条件。由此,即使蚀刻有进展,也能够抑制凹部的形状异常。
(基板处理方法)
图18是表示第五实施方式中的基板处理方法的一例的流程图。此外,在本实施方式中,除了以下说明的点以外,通过与图1中例示的基板处理方法相同的方法在凹部的侧壁形成保护膜PF。另外,在本实施方式中也是,通过使用图4~图6所说明的基板处理系统1来进行基板W的处理。此外,在本实施方式中,在图4中例示的基板处理系统1的保管室15内设置有用于测定凹部的形状的测定装置。本实施方式的测定装置是第一测定装置的一例。
在本实施方式中,首先,执行图1中例示的步骤S10~S13的处理。由此,例如如19的(A)所示,在膜EL形成凹部。然后,将基板W搬入保管室15内,通过测定装置来测定凹部的形状(S60)。凹部的形状例如为凹部的A/R。
然后,控制装置100判定测定出的凹部的形状是否为预先决定的形状(S61)。预先决定的形状例如为凹部的A/R为预先决定的值以上的形状。在凹部的形状为预先决定的形状的情况下(S61:“是”),执行步骤S22所示的处理。
另一方面,在凹部的形状不是预先决定的形状的情况下(S61:“否”),通过测定装置来测定掩模MA的厚度。然后,控制装置100判定掩模MA的厚度是否为预先决定的厚度以上(S62)。在掩模MA的厚度为预先决定的厚度以上的情况下(S62:“是”),执行步骤S14~S21所示的处理,并再次执行步骤S60所示的处理。
通过步骤S14~S17所示的处理,例如如图19的(B)所示,在凹部的上部形成保护膜PF。然后,通过步骤S18~S21的处理,例如如图19的(C)所示,对凹部的底部进一步进行蚀刻。由此,例如如图19的(C)所示,掩模MA的厚度减少。
另一方面,在掩模MA的厚度小于预先决定的厚度的情况下(S62:“否”),控制装置100再次设定用于形成保护膜PF的处理条件(S63)。然后,基于再次设定的处理条件来执行步骤S14~S21所示的处理。再次设定的处理条件例如为在当前的掩模MA的厚度中的掩模MA与膜EL的边界附近形成保护膜PF的处理条件。由此,即使由于蚀刻有进展而掩模MA的厚度减少,也能够在掩模MA与膜EL的边界附近形成保护膜PF,能够抑制凹部的形状异常。此外,再次设定的处理条件可以为凹部的蚀刻条件。
另外,在本实施方式中,根据掩模MA的厚度是否为预先决定的厚度以上来变更步骤S14~S21所示的处理的处理条件,但公开的技术不限于此。作为其它方式,也可以是,在将步骤S14~S21的处理重复n次(n为2以上的整数)以上的情况下,不论掩模MA的厚度如何,都根据凹部的形状使第n次的保护膜PF的成膜条件相对于第(n-1)次的保护膜PF的成膜条件发生变更。或者,也可以是,在将步骤S14~S21的处理重复n次以上的情况下,不论掩模MA的厚度、凹部的形状如何,都使第n次的保护膜PF的成膜条件相对于第(n-1)次的保护膜PF的成膜条件发生变更。变更的成膜条件例如为与形成保护膜PF的凹部的侧壁的位置、形成于凹部的侧壁的保护膜PF的膜厚有关的处理条件。此外,也可以变更凹部的蚀刻条件。
(第六实施方式)
在第二实施方式中,通过将前体P和反应气体R中的至少一方仅供给至凹部的侧壁的预先决定的部分,来使前体P与反应气体R的反应仅在凹部的侧壁的预先决定的部分进行。与此相对地,在本实施方式中,能够通过控制基板W的温度来控制凹部的深度方向上形成保护膜PF的范围。
(基板处理方法)
图20是表示第六实施方式中的基板处理方法的一例的流程图。此外,在本实施方式中,除了以下说明的点以外,通过与图1中例示的基板处理方法同样的方法在凹部的侧壁形成保护膜PF。另外,在本实施方式中,通过使用图4所说明的基板处理系统1来进行基板W的处理,但也可以在各个蚀刻装置12中进行保护膜PF的成膜。另外,在本实施方式中,静电吸盘ESC被分为多个区,各个区的温度由加热器HT单独地控制。
在本实施方式中,首先,执行图1中例示的步骤S10~S13的处理。然后,在蚀刻装置12内,将基板W的温度调整为200℃以上,并将静电吸盘ESC的至少两个区设定为不同的温度(S70)。然后,执行步骤S16~S22的处理。此外,在本实施方式中,在各个蚀刻装置12内执行S16~S17的处理,不执行步骤S18的处理。
在本实施方式中,蚀刻装置12的静电吸盘ESC例如如图21所示那样被分为同心圆状的多个区Z1~区Z3。区Z1~区Z3各自的温度由加热器HT单独地控制。此外,能够单独地进行温度控制的多个区的分区方式不限定于图21。作为其它例,蚀刻装置12的静电吸盘ESC例如也可以如图22、图23所示那样被分为14个区Z1~区Z14。
(温度与保护膜PF的成膜位置之间的关系)
图24是表示第一温度条件下的凹部的深度方向上的CD的分布的一例的图。图25是表示第二温度条件下的凹部的深度方向上的CD的分布的一例的图。在图24和图25所示的实验结果中,将包括前体P的导入、吹扫、反应气体R的导入、吹扫这四个步骤的处理执行35次。在反应气体R的导入中,将反应气体R进行等离子体化。前体P使用含硅气体,反应气体使用用氩稀释后的氧气。因此,在图24和图25中形成的保护膜PF为氧化硅膜。认为与温度相应的氧化硅膜的成膜特性同与温度相应的氮化硅膜的成膜特性相同。因此,下面以保护膜PF为氧化硅膜的情况为例进行说明。
在实验中,将导入反应气体R时的静电吸盘ESC的温度设定为两种,并测定了所形成的保护膜PF的厚度和位置。在图24的实验中将静电吸盘ESC的温度调整为10℃,在图25的实验中将静电吸盘ESC的温度调整为60℃。另外,将反应气体R的导入时间(等离子体的生成时间)设定为1秒、4秒、10秒、饱和完成时间(足够反应气体R在基板W的表面上完成饱和的时间)这四种时间。
在图24和图25中,“Initial”表示实验开始前的凹部的CD,“Conformal”表示进行处理直到饱和完成时间为止的情况下的凹部的CD。如根据图24和图25可知的那样,在“Conformal”时,不论凹部的深度如何都以大致均匀的厚度在凹部的侧壁形成有保护膜PF。
接着,改变反应气体R的导入时间并测定形成于凹部的保护膜PF的厚度。如根据图24的曲线图可知的那样,在将反应气体R的导入时间设定为10秒的情况下形成的保护膜PF的状态为,随着去向凹部的下方膜厚减少一些但几乎为共形的膜厚。另外,在将反应气体R的导入时间设定为4秒的情况下形成的保护膜PF的厚度与10秒的情况下的该保护膜PF的厚度之间产生差异,相比于10秒的情况,在凹部的侧壁的下部形成有更薄的保护膜PF。在将反应气体R的导入时间设定为1秒的情况下,从掩模MA与膜EL的边界起至凹部的深度方向上约0.6μm的位置为止,形成有厚度在凹部的深度方向上逐渐减少的保护膜PF。但是,在0.6μm以下的部分几乎未形成保护膜PF。
另一方面,观察将静电吸盘ESC的温度调整为60℃的图25的曲线图,在将反应气体R的导入时间设定为10秒的情况下形成的保护膜PF的状态为,随着去向下方膜厚减少一些但几乎为共形的。在将反应气体R的导入时间设定为4秒的情况下形成的保护膜PF的厚度与10秒的情况下的该保护膜PF的厚度之间产生差异,相比于10秒的情况而言,在凹部的侧壁的下部形成有更薄的保护膜PF。在将反应气体R的导入时间设定为1秒的情况下,从掩模MA与膜EL的边界起至凹部的深度方向上约1μm的位置为止,形成有厚度在凹部的深度方向上逐渐减少的保护膜PF。但是,在1μm之下的部分几乎未形成保护膜PF。
当反应气体R的导入时间变短时,在任意情况下均在凹部的深度方向上形成厚度逐渐减少的保护膜PF。特别地,在将反应气体R的导入时间设定为1秒的情况下,如果将静电吸盘ESC的温度控制为10℃,则能够抑制在0.6μm以下的位置处形成保护膜PF,如果控制为60℃,则能够抑制在1μm以下的位置处形成保护膜PF。像这样,根据图24和图25所示的实验结果可知,通过改变静电吸盘ESC的温度,能够调整所形成的保护膜PF的厚度和保护膜PF的分布。
图26是将图24和图25的实验结果进行了总结的图。具体地说,图26是在表示使用扩散方程式等计算出的氧(O2)等离子体的饱和时间与A/R之间的对应的曲线图中叠加实验结果而得到的。
如图26所示,与反应气体R的导入时间(在此为O2等离子体的照射时间)的变化相对应地,形成膜的下限位置(A/R)发生了变化。另外,在将静电吸盘ESC的温度设定为10℃的情况下和设定为60℃的情况下,形成保护膜PF的下限位置的A/R存在约20的差异(图26中的箭头所示的部分。)。据此,通过使静电吸盘ESC的温度例如在10℃至60℃的范围内变动,能够使形成保护膜PF的下限位置的A/R在约20的范围内变动。
因此,在本实施方式中,在预先观测了产生拱起、锥度等形状异常的凹部的侧壁的位置的基础上,在可能产生该形状异常的侧壁的区域形成保护膜PF。另外,在本实施方式中,通过调整载置基板W的静电吸盘ESC的温度,能够调整凹部的侧壁上的保护膜PF的成膜区域。另外,在本实施方式中,通过形成膜厚沿凹部的深度方向逐渐减少的保护膜PF,能够在与锥形状、弯曲等凹部的形状异常对应的区域(位置)形成保护膜PF。
(第七实施方式)
在本实施方式中,在执行图1的步骤S10~S21的处理之后,将基板W搬入保管室15内。设置于保管室15内的测定装置测定形成于凹部的保护膜PF的状态。设置于保管室15内的测定装置是第二测定装置的一例。所测定的保护膜PF的状态例如为保护膜PF的膜厚、保护膜PF在凹部的侧壁上的残留位置等。然后,控制装置100根据测定出的保护膜PF的状态来判定保护膜PF的状态是否为预先决定的状态。
在保护膜PF的状态为预先决定的状态的情况下,继续将步骤S14~S21的处理执行一次以上,直至形成预先决定的形状的凹部为止。另一方面,在保护膜PF的状态不是预先决定的状态的情况下,控制装置100基于测定出的保护膜PF的状态来调整步骤S14~S21的处理中的至少一部分处理条件,以使保护膜PF的状态成为预先决定的状态。而且,将步骤S14~S21的处理执行一次以上。
[其它]
此外,本申请所公开的技术并不限定于上述的实施方式,在其主旨的范围内能够进行多种变形。
例如,在上述的各实施方式中,重复进行在凹部的侧壁形成作为氮化硅膜的保护膜PF和对凹部进一步进行蚀刻,但公开的技术不限于此。例如,也可以是,对图27的(A)所示的基板W进行蚀刻来形成图27的(B)所示的凹部,如图27的(C)所示那样在凹部的侧壁形成作为氮化硅膜的保护膜PF1。而且,在如图27的(D)所示那样对凹部进一步进行蚀刻之后,如图27的(E)所示那样在凹部的侧壁形成例如作为氧化硅膜的保护膜PF2。而且,如图27的(F)所示,对凹部进一步进行蚀刻。像这样,在重复进行保护膜PF的成膜和凹部的蚀刻的过程中,可以至少一次地形成作为氧化硅膜的保护膜PF2来作为保护膜PF。保护膜PF2是第三膜的一例。氧化硅膜能够以比氮化硅膜低的温度条件形成。因此,保护膜PF1能够以接近进行蚀刻的温度条件的温度条件形成。由此,能够在蚀刻装置12内形成保护膜PF1。因而,能够削减在蚀刻装置12与成膜装置14之间搬送基板W的时间,从而能够使生产率提高。
此外,应当认为本次公开的实施方式在所有方面均为例示,而非限制性的。实际上,上述的实施方式能够以多种方式具体实现。另外,上述的实施方式在不脱离所附的权利要求书及其主旨的情况下可以以各种方式省略、置换、变更。
附图标记说明
EL:膜;I:抑制因子;IN:抑制层;MA:掩模;P:前体;PC:预备膜;PF:保护膜;R:反应气体;W:基板;1:基板处理系统;100:控制装置;12:蚀刻装置;13:真空搬送室;14:成膜装置;15:保管室;16:加载互锁室;17:大气搬送室。

Claims (23)

1.一种基板处理方法,包括以下工序:
工序a),将基板搬入第一腔室内,所述基板具有第一膜和掩模,所述第一膜为处理对象,在所述第一膜形成有凹部,所述掩模设置于所述第一膜上,在所述掩模的与所述凹部对应的位置处形成有开口;
工序b),将所述基板的温度调整为200℃以上;
工序c),在所述凹部的侧壁形成第二膜,所述第二膜为氮化硅膜;
工序d),将所述基板搬入与所述第一腔室不同的第二腔室内;
工序e),将所述基板的温度调整为100℃以下;以及
工序f),对所述凹部的底部进行蚀刻,
其中,所述工序c)包括以下工序:
工序c-1),通过向所述第一腔室内供给含硅反应种,来使含硅反应种吸附于所述凹部的侧壁;以及
工序c-2),向所述第一腔室内供给含氮反应种,使所述含氮反应种与吸附于所述凹部的侧壁的所述含硅反应种进行反应,由此在所述凹部的侧壁形成所述第二膜,
其中,所述第二膜的膜厚为20nm以下,
所述第二膜的处于所述凹部的底部的膜厚与所述第二膜的处于所述凹部的上部侧壁的膜厚之比为0.7以上,
按照所述工序a)~所述工序f)的顺序将所述工序a)~所述工序f)重复执行两次以上,直至深宽比成为50以上,所述深宽比为从所述掩模的开口部起至所述凹部的底部为止的深度尺寸与所述掩模的开口尺寸之比。
2.根据权利要求1所述的基板处理方法,其特征在于,
在所述工序a)之前还包括经由所述掩模对所述第一膜进行蚀刻来形成所述凹部的工序,
在执行形成所述凹部的工序之前,所述掩模的厚度为2000nm以上,所述掩模的深宽比为20以上。
3.根据权利要求1或2所述的基板处理方法,其特征在于,
在通过所述工序a)搬入的所述基板中,从所述掩模上部起至所述凹部的底部为止的深度尺寸与所述掩模的开口尺寸之比为10以上。
4.根据权利要求1至3中的任一项所述的基板处理方法,其特征在于,
在将所述工序a)~所述工序f)重复执行两次以上直至所述深宽比成为50以上之后,从所述掩模上部起至所述凹部的底部为止的深度尺寸与所述掩模的开口尺寸之比为10以下。
5.根据权利要求1至4中的任一项所述的基板处理方法,其特征在于,
通过将含氮气体进行等离子体化,来生成所述含氮反应种。
6.根据权利要求1至5中的任一项所述的基板处理方法,其特征在于,
所述工序c)还包括工序c-0),在该工序c-0)中,在所述第一腔室内将包含氮和氢的气体进行等离子体化,由此使所述基板的表面以氨基为终端,
在所述工序c-1)之前执行所述工序c-0)。
7.根据权利要求1至6中的任一项所述的基板处理方法,其特征在于,
在所述工序c)中,在所述凹部的侧壁形成10nm以下的所述第二膜。
8.根据权利要求1至7中的任一项所述的基板处理方法,其特征在于,
在所述基板且所述第一膜上设置有掩模,
在所述工序c)中,在所述掩模的表面也形成所述第二膜,
形成于所述掩模的侧壁的所述第二膜的厚度比形成于所述凹部的表面的所述第二膜的厚度厚。
9.根据权利要求1至8中的任一项所述的基板处理方法,其特征在于,
在所述工序c-2)中,向构成用于载置所述基板的载置台的下部电极施加偏置电压。
10.根据权利要求1至9中的任一项所述的基板处理方法,其特征在于,
所述第一膜具有氧化硅膜和氮化硅膜,
所述第一膜所具有的氮化硅膜的硅原子及氮原子的含有比率与所述第二膜的硅原子及氮原子的含有比率不同。
11.根据权利要求1至10中的任一项所述的基板处理方法,其特征在于,
所述第一膜具有氧化硅膜和氮化硅膜,
所述第一膜所具有的氮化硅膜的膜密度与所述第二膜的膜密度不同。
12.根据权利要求1至11中的任一项所述的基板处理方法,其特征在于,
所述第一膜具有氧化硅膜和氮化硅膜,
所述第一膜所具有的氮化硅膜的晶体结构与所述第二膜的晶体结构不同。
13.根据权利要求1至12中的任一项所述的基板处理方法,其特征在于,
所述深宽比越高,则使供给所述含硅反应种的时间和供给所述含氮反应种的时间中的至少某一时间越长。
14.根据权利要求1至13中的任一项所述的基板处理方法,其特征在于,
使用基板处理系统来执行所述基板处理方法,
所述基板处理系统具备:
批量式的成膜装置,其能够收容多个所述基板;以及
多个单片式的蚀刻装置,
其中,所述第一腔室为所述成膜装置,
所述第二腔室为所述蚀刻装置。
15.根据权利要求1至14中的任一项所述的基板处理方法,其特征在于,
还包括工序g),在该工序g)中,在第三腔室内在通过所述工序f)形成的凹部的侧壁形成第三膜,所述第三膜为氧化硅膜,
在所述工序f)之后执行所述工序g),
在执行所述工序g)之后再次执行所述工序f)。
16.根据权利要求15所述的基板处理方法,其特征在于,
所述第二腔室和所述第三腔室为同一腔室。
17.一种基板处理方法,包括以下工序:
工序h),提供在第一膜形成有凹部的基板;
工序i),将所述基板的温度调整为200℃以上;
工序j),在所述凹部的侧壁形成第二膜,所述第二膜为氮化硅膜;以及
工序k),对所述凹部的底部进行蚀刻。
18.一种基板处理系统,具备:
第一腔室,其用于收容在作为处理对象的第一膜形成有凹部的基板;
第一温度调整部,其调整被收容于所述第一腔室内的所述基板的温度;
第一气体供给部,其向所述第一腔室内供给气体;
第二腔室,其用于收容所述基板,所述第二腔室与所述第一腔室不同;
第二温度调整部,其调整被收容于所述第二腔室内的所述基板的温度;
第二气体供给部,其向所述第二腔室内供给气体;以及
搬送装置,其在所述第一腔室与所述第二腔室之间搬送所述基板;以及
控制装置,
其中,所述控制装置执行以下工序:
工序a),控制所述搬送装置,以将所述基板搬入所述第一腔室内;
工序b),控制所述第一温度调整部,以使所述基板的温度成为200℃以上;
工序c),控制所述第一气体供给部,以在所述凹部的侧壁形成第二膜,所述第二膜为氮化硅膜;
工序d),控制所述搬送装置,以将所述基板搬入所述第二腔室内;
工序e),控制所述第二温度调整部,以使所述基板的温度成为100℃以下;以及
工序f),控制所述第二气体供给部,以对所述凹部的底部进行蚀刻。
19.根据权利要求18所述的基板处理系统,其特征在于,
所述第一腔室为能够收容多个所述基板的批量式的成膜装置,
所述第二腔室为单片式的蚀刻装置。
20.根据权利要求19所述的基板处理系统,其特征在于,
所述搬送装置具有:
第一搬送装置,其向所述第一腔室搬入所述基板以及从所述第一腔室搬出所述基板;以及
第二搬送装置,其向所述第二腔室搬入所述基板以及从所述第二腔室搬出所述基板,
其中,所述第一搬送装置能够沿铅垂方向搬送所述基板。
21.根据权利要求18至20中的任一项所述的基板处理系统,其特征在于,
还具有第一测定装置,所述第一测定装置测定所述凹部的形状,
所述控制装置基于由所述第一测定装置测定出的所述凹部的形状,来变更所述第二膜的成膜条件和所述凹部的底部的蚀刻条件中的至少某一条件。
22.根据权利要求18至21中的任一项所述的基板处理系统,其特征在于,
还具有第二测定装置,所述第二测定装置测定所述第二膜的状态,
所述控制装置基于由所述第二测定装置测定出的所述第二膜的状态,来变更所述第二膜的成膜条件和所述凹部的底部的蚀刻条件中的至少某一条件。
23.根据权利要求18至22中的任一项所述的基板处理系统,其特征在于,
还具备保管室,所述保管室用于在从执行所述工序c)之后起至开始所述工序d)为止的期间保管被执行了所述工序c)的所述基板。
CN202111326876.1A 2020-11-17 2021-11-10 基板处理方法和基板处理系统 Pending CN114512398A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020190713A JP2022079865A (ja) 2020-11-17 2020-11-17 基板処理方法および基板処理システム
JP2020-190713 2020-11-17

Publications (1)

Publication Number Publication Date
CN114512398A true CN114512398A (zh) 2022-05-17

Family

ID=81548504

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202111326876.1A Pending CN114512398A (zh) 2020-11-17 2021-11-10 基板处理方法和基板处理系统

Country Status (5)

Country Link
US (1) US20220157616A1 (zh)
JP (1) JP2022079865A (zh)
KR (1) KR20220068180A (zh)
CN (1) CN114512398A (zh)
TW (1) TW202225444A (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024005047A1 (ja) * 2022-07-01 2024-01-04 東京エレクトロン株式会社 基板処理装置の制御方法及び基板処理システム
WO2024054369A1 (en) * 2022-09-08 2024-03-14 Applied Materials, Inc. Sacrificial layer for forming merged high aspect ratio contacts in 3d nand memory device
WO2024069683A1 (ja) * 2022-09-26 2024-04-04 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置およびプログラム

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9570460B2 (en) * 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10529554B2 (en) * 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6840609B2 (ja) 2017-04-19 2021-03-10 株式会社高垣製作所 麺線切出装置

Also Published As

Publication number Publication date
TW202225444A (zh) 2022-07-01
KR20220068180A (ko) 2022-05-25
JP2022079865A (ja) 2022-05-27
US20220157616A1 (en) 2022-05-19

Similar Documents

Publication Publication Date Title
CN109427576B (zh) 蚀刻方法
KR102608585B1 (ko) Ale (atomic layer etch) 리셋을 사용한 선택적인 증착
KR101974715B1 (ko) 산화막 제거 방법 및 제거 장치, 및 콘택 형성 방법 및 콘택 형성 시스템
CN114512398A (zh) 基板处理方法和基板处理系统
JP2024045236A (ja) プラズマ処理装置および基板処理装置
CN110783188B (zh) 蚀刻方法和蚀刻装置
KR20170128572A (ko) 펄스화된 질화물 캡슐화
US11462412B2 (en) Etching method
TW201715604A (zh) 用於經由原子層沉積循環之蝕刻的方法
US20210202233A1 (en) Substrate processing method and substrate processing apparatus
KR20210043746A (ko) 유전체 재료를 증착하기 위한 방법들
US20210025060A1 (en) Apparatus for processing substrate
US10546753B2 (en) Method of removing silicon oxide film
US20230002894A1 (en) Shadow ring lift to improve wafer edge performance
KR20210080215A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템
KR20170129234A (ko) 결함 평탄화
US20220199415A1 (en) Substrate processing method
US20220235462A1 (en) Film forming method and film forming apparatus
US11560623B2 (en) Methods of reducing chamber residues
US20230420225A1 (en) Substrate processing method and substrate processing apparatus

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination