KR20210043746A - 유전체 재료를 증착하기 위한 방법들 - Google Patents

유전체 재료를 증착하기 위한 방법들 Download PDF

Info

Publication number
KR20210043746A
KR20210043746A KR1020217010976A KR20217010976A KR20210043746A KR 20210043746 A KR20210043746 A KR 20210043746A KR 1020217010976 A KR1020217010976 A KR 1020217010976A KR 20217010976 A KR20217010976 A KR 20217010976A KR 20210043746 A KR20210043746 A KR 20210043746A
Authority
KR
South Korea
Prior art keywords
dielectric material
remote plasma
depositing
gas
substrate
Prior art date
Application number
KR1020217010976A
Other languages
English (en)
Other versions
KR102514465B1 (ko
Inventor
바르가브 에스. 시트라
제드로 타노스
징이 리
더글라스 에이. 주니어 부흐버거
종 치앙 후아
스리니바스 디. 네마니
엘리 와이. 이에
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237009715A priority Critical patent/KR20230044033A/ko
Publication of KR20210043746A publication Critical patent/KR20210043746A/ko
Application granted granted Critical
Publication of KR102514465B1 publication Critical patent/KR102514465B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3327Coating high aspect ratio workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Chemistry (AREA)

Abstract

본 발명의 실시예들은 반도체 디바이스들을 제조하기 위해 원격 플라즈마 소스 증착과 함께 RF 바이어스 펄스들을 사용하여 유전체 재료를 증착하기 위한, 특히 반도체 응용들에서 높은 종횡비들을 갖는 개구들을 채우기 위한 장치 및 방법들을 제공한다. 일 실시예에서, 유전체 재료를 증착하는 방법은, 내부에 기판이 배치된 처리 챔버 내에 가스 혼합물을 제공하는 단계, 원격 플라즈마 소스에서 원격 플라즈마를 형성하고 원격 플라즈마를 처리 챔버에 한정된 내부 처리 영역으로 전달하는 단계, 펄스 모드에서 처리 챔버에 RF 바이어스 전력을 인가하는 단계, 및 가스 혼합물 및 원격 플라즈마의 존재 하에 기판 상에 배치된 재료 층에 한정된 개구에 유전체 재료를 형성하는 단계를 포함한다.

Description

유전체 재료를 증착하기 위한 방법들
[0001] 본 발명의 실시예들은 일반적으로 증착 중에 RF 바이어스 펄스 제어를 사용하여 유전체 재료를 증착하기 위한 방법들에 관한 것으로, 보다 구체적으로는 반도체 응용들에서 증착 중에 RF 바이어스 펄스들과 함께 원격 플라즈마 제어를 사용하여 유전체 재료를 증착하기 위한 방법들에 관한 것이다.
[0002] 1/2 미크론 이하 및 더 작은 피처들을 신뢰성 있게 생산하는 것은 반도체 디바이스들의 차세대 VLSI(very large scale integration) 및 ULSI(ultra large-scale integration)에 대한 핵심 기술 과제들 중 하나이다. 그러나 회로 기술이 한계들에 부딪힘에 따라, VLSI 및 ULSI 상호 연결 기술의 축소 치수들은 처리 능력들에 추가 요구들을 부과하였다. 기판 상의 게이트 구조들의 신뢰성 있는 형성은 VLSI 및 ULSI 성공에 그리고 개개의 기판들 및 다이의 회로 밀도 및 품질을 향상시키기 위한 지속적인 노력에 중요하다.
[0003] 포토레지스트 층과 같은 패터닝된 마스크는 일반적으로 에칭 프로세스에 의해 기판 상에 게이트 구조, STI(shallow trench isolation), 바이트 라인들 등과 같은 구조들을 형성하는 데 사용된다. 패터닝된 마스크는 종래 방식으로는 리소그래피 프로세스를 사용하여 원하는 임계 치수들을 갖는 패턴을 포토레지스트 층에 광학적으로 전사함으로써 제작된다. 그런 다음, 포토레지스트 층이 현상되어 포토레지스트의 원하지 않는 부분을 제거함으로써, 나머지 포토레지스트에 개구들을 생성한다.
[0004] 차세대 디바이스들 및 구조들의 제작을 가능하게 하기 위해, 트랜지스터들의 성능을 향상시키는 데 반도체 칩들의 3D(three dimensional) 적층이 흔히 이용된다. 종래의 2차원 대신 3차원으로 트랜지스터들을 배열함으로써, 다수의 트랜지스터들이 서로 매우 가깝게 IC(integrated circuit)들에 배치될 수 있다. 반도체 칩들의 3D(three dimensional) 적층은 배선 길이들을 줄이고 배선 지연을 낮게 유지한다. 트렌치들의 폭이 계속 축소됨에 따라, 반도체 칩들의 적층을 위해 종횡비(깊이를 폭으로 나눈 값)가 계속 증가한다. 고종횡비 트렌치들의 제조와 관련된 한 가지 과제는 트렌치들에 유전체 재료를 증착하는 동안 공극들의 형성을 피하는 것이다.
[0005] 트렌치를 채우기 위해, 실리콘 산화물과 같은 유전체 재료 층이 증착된다. 유전체 층은 통상적으로 트렌치의 벽들과 바닥뿐만 아니라 필드를 덮는다. 트렌치가 넓고 얕다면, 트렌치를 완전히 채우기가 상대적으로 쉽다. 그러나 트렌치 종횡비가 증가함에 따라, 트렌치의 개구가 "핀치오프(pinch off)" 되어, 트렌치 내에 공극(예컨대, 결함들)을 형성할 가능성이 더 높아진다.
[0006] 트렌치 내에 공극을 형성하거나 트렌치 내에서 경계선(seam)들을 형성할 가능성을 줄이기 위해, 최소 결함들로 트렌치를 유전체 재료들로 채우도록 많은 다양한 프로세스 기법들이 개발되었다. 증착 프로세스 중에 열등한 프로세스 제어는 불규칙한 구조 프로파일들 또는 트렌치의 조기 폐쇄를 야기하여, 트렌치를 유전체 재료들로 채우는 동안 트렌치에 공극들 또는 에어 갭을 야기할 것이다.
[0007] 따라서 최소 결함들로 원하는 프로파일들을 가진 트렌치에 유전체 재료들을 형성하기 위한 증착 프로세스들의 개선들에 대한 필요성이 존재한다.
[0008] 본 발명의 실시예들은 반도체 디바이스들을 제조하기 위해 원격 플라즈마 소스 증착과 함께 RF 바이어스 펄스들을 사용하여 유전체 재료를 증착하기 위한, 특히 반도체 응용들에서 높은 종횡비들을 갖는 개구들을 채우기 위한 장치 및 방법들을 제공한다. 일 실시예에서, 유전체 재료를 증착하는 방법은, 내부에 기판이 배치된 처리 챔버 내에 가스 혼합물을 제공하는 단계, 원격 플라즈마 소스에서 원격 플라즈마를 형성하고 원격 플라즈마를 처리 챔버에 한정된 내부 처리 영역으로 전달하는 단계, 펄스 모드에서 처리 챔버에 RF 바이어스 전력을 인가하는 단계, 및 가스 혼합물 및 원격 플라즈마의 존재 하에 기판 상에 배치된 재료 층에 한정된 개구에 유전체 재료를 형성하는 단계를 포함한다.
[0009] 다른 실시예에서, 유전체 재료를 형성하기 위한 방법은, 원격 플라즈마 소스로부터 원격 플라즈마를 형성하고 원격 플라즈마를 처리 챔버의 내부 처리 영역으로 전달하는 단계, 처리 챔버 내에 배치된 기판 지지 부재에 RF 바이어스 전력을 인가하는 단계, 기판 온도를 약 -20℃ 내지 약 200℃로 유지하는 단계, 및 기판 상에 배치된 재료 층에 한정된 개구에 유전체 재료를 형성하는 단계를 포함한다.
[0010] 또 다른 실시예에서, 유전체 재료를 형성하기 위한 방법은, 기판이 배치되는 처리 챔버의 내부 처리 영역에 공급되는 펄스 모드의 RF 바이어스 전력 및 원격 플라즈마로부터 형성된 유전체 재료에 의해 기판 상에서 5:1보다 높은 종횡비를 갖는 개구를 채우는 단계를 포함한다.
[0011] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0012] 도 1은 본 개시내용의 어떤 실시예에 따른 증착 프로세스를 수행하는 데 이용되는 장치를 도시한다.
[0013] 도 2는 도 1의 장치를 포함하는 처리 툴의 일 실시예의 평면도(top plan view)를 도시한다.
[0014] 도 3은 본 개시내용의 일 실시예를 포함하는 유전체 재료를 형성하기 위한 방법을 예시하는 프로세스 흐름도이다.
[0016] 도 4a - 도 4b는 본 개시내용의 어떤 실시예에 따른 유전체 재료를 증착하기 위한 시퀀스의 일 실시예를 도시한다.
[0017] 도 5a - 도 5c는 본 개시내용의 어떤 실시예에 따라, 도 3의 증착 프로세스 동안 이용된 원격 플라즈마 소스 전력 및 RF 바이어스 전력 제어의 서로 다른 실시예들을 도시한다.
[0018] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트(element)들을 가리키는 데, 가능한 경우, 동일한 참조 부호들이 사용되었다. 한 실시예의 엘리먼트들 및 특징들은 추가 언급 없이 다른 실시예들에 유리하게 포함될 수 있다는 것이 고려된다.
[0019] 그러나 첨부된 도면들은 본 발명의 단지 예시적인 실시예들을 예시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0020] 본 발명은 반도체 디바이스들을 위해, 특히 반도체 칩들의 3D(three dimensional) 적층을 위해, 높은 종횡비들을 갖는 트렌치에 유전체 재료를 증착하기 위한 방법들을 제공한다. 일 실시예에서, 증착 프로세스는 기판이 배치되는 기판 지지 어셈블리에 공급되는 펄스 모드의 RF 바이어스 전력과 함께 원격 플라즈마를 사용할 수 있다. 증착 프로세스는 챔버 내 RF 소스 전력 발생 없이 수행된다. 원격으로 생성된 원격 플라즈마 및 펄스형 RF 바이어스 전력은 기판으로부터 트렌치에 채우는 유전체 재료에 대해 양호한 갭 충전 능력을 제공하도록 필요에 따라 동시에, 교대로 또는 순차적으로 처리 챔버의 내부 처리 영역에 공급될 수 있다.
[0021] 도 1은 반도체 응용들을 위해, 특히 양호한 가스 충전 능력으로 유전체 재료를 증착할 수 있는 증착 프로세스를 수행하기 위한 처리 챔버(100)의 단면도이다. 본 명세서에 개시된 교시들과 함께 사용하도록 구성될 수 있는 적합한 처리 챔버들은 예를 들어, California, Santa Clara 소재의 Applied Materials, Inc.로부터 입수할 수 있는 HDP-PRODUCER® 또는 C3® 처리 챔버를 포함할 수 있다. 처리 챔버(100)는 우수한 마스크 트리밍 및 구조 재성형 프로세스를 가능하게 하는 복수의 특징들을 포함하는 것으로 도시되지만, 다른 처리 챔버들이 본 명세서에 개시된 본 발명의 특징들 중 하나 이상으로부터 이익을 얻도록 구성될 수 있다는 점이 고려된다.
[0022] 처리 챔버(100)는 처리 챔버 본체(102) 및 처리 챔버 본체(102)에 결합된 원격 플라즈마 소스(104)를 포함한다. 원격 플라즈마 소스(104)는 라디칼들을 생성할 수 있는 임의의 적절한 소스일 수 있다. 원격 플라즈마 소스(104)는 RF(radio frequency) 또는 VHRF(very high radio frequency) CCP(capacitively coupled plasma) 소스, ICP(inductively coupled plasma) 소스, MW(microwave induced) 플라즈마 소스, ECR(electron cyclotron resonance) 챔버 또는 HDP(high density plasma) 챔버와 같은 원격 플라즈마 소스일 수 있다. 원격 플라즈마 소스(104)는 하나 이상의 가스 소스들(106)을 포함할 수 있고, 원격 플라즈마 소스(104)는 라디칼 도관(108)에 의해 처리 챔버(100)에 결합될 수 있다. 라디칼 형성 가스들일 수 있는 하나 이상의 프로세스 가스들이 하나 이상의 가스 소스들(106)을 통해 원격 플라즈마 소스(104)에 들어갈 수 있다. 하나 이상의 프로세스 가스들은 염소 함유 가스, 불소 함유 가스, 불활성 가스, 산소 함유 가스, 질소 함유 가스, 수소 함유 가스, 또는 이들의 임의의 조합을 포함할 수 있다. 원격 플라즈마 소스(104)에서 생성된 라디칼들은 처리 챔버(100)에 결합된 라디칼 도관(108)을 통해 처리 챔버(100) 내로 이동하여, 처리 챔버(100)에 한정된 내부 처리 영역(151)에 도달한다.
[0023] 라디칼 도관(108)은 라디칼 공동(110), 상판(114), 덮개 테두리(116) 및 샤워헤드(118)를 또한 포함하는 덮개 어셈블리(112)의 일부이다. 라디칼 도관(108)은 실질적으로 라디칼들에 반응하지 않는 재료를 포함할 수 있다. 예를 들어, 라디칼 도관(108)은 Al2O3, 사파이어, AlN, Y2O3, MgO 중 하나 이상을 함유하는 세라믹들, AlN, SiO2, Y2O3, MgO, 양극산화된 Al2O3, 사파이어 또는 플라스틱들을 포함할 수 있다. 적합한 SiO2 재료의 대표적인 예는 석영이다. 라디컬 도관(108)은 라디컬 도관 지지 부재(120) 내에 배치되며 라디컬 도관 지지 부재(120)에 의해 지지될 수 있다. 라디컬 도관 지지 부재(120)는 덮개 테두리(116) 위에 안착되는 상판(114) 상에 배치될 수 있다.
[0024] 라디칼 공동(110)은 라디칼 도관(108) 아래에 포지셔닝되어 라디칼 도관(108)에 결합되고, 원격 플라즈마 소스(104)에서 생성된 라디칼들은 라디칼 도관(108)을 통해 라디칼 공동(110)으로 이동한다. 라디칼 공동(110)은 상판(114), 덮개 테두리(116) 및 샤워헤드(118)에 의해 한정된다. 선택적으로, 라디칼 공동(110)은 라이너(liner)(122)를 포함할 수 있다. 라이너(122)는 라디칼 공동(110)에 노출되는 상판(114) 및 덮개 테두리(116)의 표면들을 덮을 수 있다. 원격 플라즈마 소스(104)로부터의 라디칼들은 샤워헤드(118)에 배치된 복수의 튜브들(124)을 통과하여 내부 처리 영역(151) 내로 들어간다. 샤워헤드(118)는 복수의 튜브들(124)보다 직경이 더 작은 복수의 개구들(126)을 더 포함한다. 복수의 개구들(126)은 복수의 튜브들(124)과 유체 연통하지 않는 (도시되지 않은) 내부 용적에 연결된다. 유체 혼합물을 처리 챔버(100)의 내부 처리 영역(151) 내에 도입하기 위해 하나 이상의 유체 소스들(119)이 샤워헤드(118)에 결합될 수 있다. 유체 혼합물은 전구체, 포로젠(porogen) 및/또는 캐리어 유체들을 포함할 수 있다. 유체 혼합물은 가스들과 액체들의 혼합물일 수 있다.
[0025] 처리 챔버(100)는 덮개 어셈블리(112), 챔버 본체(130) 및 기판 지지 어셈블리(132)를 포함할 수 있다. 기판 지지 어셈블리(132)는 적어도 부분적으로는 챔버 본체(130) 내에 배치될 수 있다. 챔버 본체(130)는 처리 챔버(100)의 내부로의 접근을 제공할 슬릿 밸브(135)를 포함할 수 있다. 챔버 본체(130)는 챔버 본체(130)의 내부 표면을 덮는 라이너(134)를 포함할 수 있다. 라이너(134)는, 라이너(134) 내에 형성되어 진공 시스템(140)과 유체 연통하는 펌핑 채널(138) 및 하나 이상의 애퍼처들(136)을 포함할 수 있다. 애퍼처들(136)은 펌핑 채널(138)로의 가스들에 대한 유동 경로를 제공하는데, 이는 처리 챔버(100) 내의 가스들에 대한 출구를 제공한다.
[0026] 진공 시스템(140)은 진공 포트(142), 밸브(144) 및 진공 펌프(146)를 포함할 수 있다. 진공 펌프(146)는 진공 포트(142)를 통해 펌핑 채널(138)과 유체 연통한다. 애퍼처들(136)은 펌핑 채널(138)이 챔버 본체(130) 내의 내부 처리 영역(151)과 유체 연통할 수 있게 한다. 내부 처리 영역(151)은 샤워헤드(118)의 하부 표면(148) 및 기판 지지 어셈블리(132)의 상부 표면(150)에 의해 한정되고, 내부 처리 영역(151)은 라이너(134)로 둘러싸인다.
[0027] 기판 지지 어셈블리(132)는 챔버 본체(130) 내에서 처리하기 위한 (도시되지 않은) 기판을 지지할 기판 지지 부재(152)를 포함할 수 있다. 기판은 예를 들어, 300㎜와 같은 임의의 표준 웨이퍼 크기일 수 있다. 대안으로, 기판은 300㎜보다 클 수 있는데, 이를테면 450㎜ 이상일 수 있다. 기판 지지 부재(152)는 작동 온도에 따라 알루미늄 질화물(AlN) 또는 알루미늄을 포함할 수 있다. 기판 지지 부재(152)는 기판을 기판 지지 부재(152)에 척킹하도록 구성될 수 있다. 예를 들어, 기판 지지 부재(152)는 정전 척 또는 진공 척일 수 있다.
[0028] 기판 지지 부재(152)는 챔버 본체(130)의 바닥면에 형성된 중앙에 위치된 개구(158)를 통해 연장되는 샤프트(156)를 통해 리프트 메커니즘(154)에 결합될 수 있다. 리프트 메커니즘(154)은 샤프트(156) 주위로부터의 진공 누설을 막는 벨로우즈(bellows)(160)에 의해 챔버 본체(130)에 유연하게 밀폐될 수 있다. 리프트 메커니즘(154)은 기판 지지 부재(152)가 챔버 본체(130) 내에서 프로세스 포지션과 하부 이송 포지션 간에 수직으로 이동될 수 있게 한다. 이송 포지션은 슬릿 밸브(135)의 개구 약간 아래에 있다. 작동 중에, 기판 표면에서 라디칼 플럭스를 최대화하기 위해 기판(301)과 샤워헤드(118) 간의 간격이 최소화될 수 있다. 예를 들어, 간격이 약 100㎜ 내지 약 5,000㎜일 수 있다. 리프트 메커니즘(154)은 샤프트(156)를 회전시키는 것이 가능할 수 있으며, 이는 결국 기판 지지 부재(152)를 회전시켜, 기판 지지 부재(152) 상에 배치된 기판이 작동 중에 회전되게 한다.
[0029] 하나 이상의 가열 엘리먼트들(162) 및 냉각 채널(164)이 기판 지지 부재(152)에 매립될 수 있다. 가열 엘리먼트들(162) 및 냉각 채널(164)은 작동 중에 기판의 온도를 제어하는 데 사용될 수 있다. 가열 엘리먼트들(162)은 하나 이상의 저항성 가열 엘리먼트들과 같은 임의의 적절한 가열 엘리먼트들일 수 있다. 가열 엘리먼트들(162)은 (도시되지 않은) 하나 이상의 전원들에 연결될 수 있다. 가열 엘리먼트들(162)은 다중 구역 가열 또는 냉각에 대해 독립적인 가열 및/또는 냉각 제어를 하도록 개별적으로 제어될 수 있다. 다중 구역 가열 및 냉각에 대해 독립적인 제어를 하는 능력을 통해, 임의의 부여된 프로세스 조건들에서 기판 온도 프로파일이 향상될 수 있다. 냉각수가 냉각 채널(164)을 통해 유동하여 기판을 냉각시킬 수 있다. 기판 지지 부재(152)는 기판의 후면으로 냉각 가스를 유동시키기 위해 상부 표면(150)으로 연장되는 가스 통로들을 더 포함할 수 있다.
[0030] RF 소스 전력(143)이 RF 소스 전력 정합 박스(147)를 통해 샤워헤드(118)에 결합될 수 있다. RF 소스 전력(143)은 저주파, 고주파 또는 초고주파일 수 있다. 일 실시예에서, RF 소스 전력(143)은 고밀도 막 층들을 증착하기 위해 고밀도 플라즈마를 생성할 수 있는 고주파 RF 생성기이다. 일례로, RF 소스 전력(143)은 기판 지지 부재(152) 위의 내부 처리 영역(151)에서 생성된 ICP(inductive coupled plasma)를 생성하고 제어할 수 있는 유도 결합 RF 에너지 송신 디바이스의 역할을 할 수 있다. ICP(inductive coupled plasma)를 생성할 때, RF 소스 전력 정합 박스(147)로부터의 동적 임피던스 정합이 제공될 수 있다.
[0031] RF 소스 전력(143)에 추가하여, RF 바이어스 전원(145)이 기판 지지 부재(152)에 결합될 수 있다. 기판 지지 부재(152)는 캐소드로서 구성되며, RF 바이어스 전원(145)에 결합되는 전극(163)을 포함한다. RF 바이어스 전원(145)은 기판 지지 부재(152)에 배치된 전극(163)과 챔버 본체(130)의 샤워헤드(118) 또는 천장(상판(114))과 같은 다른 전극 사이에 결합된다. RF 바이어스 전원(145)으로부터 발생된 RF 바이어스 전력은 챔버 본체(130)의 내부 처리 영역(151)에 배치된 가스들로부터 형성된 플라즈마 방전을 여기시키고 지속시킨다.
[0032] 한 동작 모드에서, 기판(301)은 처리 챔버(100) 내의 기판 지지 부재(152) 상에 배치된다. 프로세스 가스 및/또는 가스 혼합물이 가스 소스들(106)로부터 샤워헤드(118)를 통해 챔버 본체(130) 내에 도입된다. 진공 펌프(146)는 증착 부산물들을 제거하면서 챔버 본체(130) 내부의 압력을 유지한다.
[0033] 제어기(170)는 처리 챔버(100)에 결합되어 처리 챔버(100)의 동작을 제어한다. 제어기(170)는 CPU(central processing unit)(172), 메모리(174), 및 프로세스 시퀀스를 제어하고 가스 소스들(106)로부터의 가스 유동들을 조절하는 데 이용되는 지원 회로(176)를 포함한다. CPU(172)는 산업 환경에서 사용될 수 있는 범용 컴퓨터 프로세서의 임의의 형태일 수 있다. 소프트웨어 루틴들이 메모리(174), 이를테면 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장소에 저장될 수 있다. 지원 회로(176)는 종래 방식으로 CPU(172)에 결합되며, 캐시, 클록 회로들, 입력/출력 시스템들, 전원 공급 장치들 등을 포함할 수 있다. 처리 챔버(100)의 다양한 컴포넌트들과 제어기(170) 간의 양방향 통신들은 다수의 신호 케이블들을 통해 처리된다.
[0034] 도 2는 도 1에 예시된 처리 챔버들(100) 중 하나 이상을 포함하는 예시적인 처리 시스템(200)의 개략적인 평면도이며, 처리 시스템(200) 내에 처리 챔버들이 통합 및 포함된다. 일 실시예에서, 처리 시스템(200)은 California, Santa Clara 소재의 Applied Materials, Inc.로부터 상업적으로 입수할 수 있는 CENTURA® 통합 처리 시스템일 수 있다. (다른 제조업체들로부터의 처리 시스템들을 포함하는) 다른 처리 시스템들이 본 개시내용으로부터 이익을 얻도록 구성될 수 있다는 점이 고려된다.
[0035] 시스템(200)은 진공 밀폐 처리 플랫폼(204), 팩토리 인터페이스(202) 및 시스템 제어기(244)를 포함한다. 처리 플랫폼(204)은 적어도 하나의 처리 챔버(100), 이를테면 도 1에 도시된 처리 챔버들(100) 중 하나, 복수의 처리 챔버들(201, 228, 220, 210), 및 진공 기판 이송 챔버(236)에 결합되는 적어도 하나의 로드락 챔버(222)를 포함한다. 도 2에는 2개의 로드락 챔버들(222)이 도시된다. 팩토리 인터페이스(202)는 로드락 챔버들(222)에 의해 이송 챔버(236)에 결합된다.
[0036] 일 실시예에서, 팩토리 인터페이스(202)는 기판들의 이송을 가능하게 하도록 적어도 하나의 도킹 스테이션(208) 및 적어도 하나의 팩토리 인터페이스 로봇(214)을 포함한다. 도킹 스테이션(208)은 하나 이상의 FOUP(front opening unified pod)를 받아들이도록 구성된다. 도 2의 실시예에서는 2개의 FOUP들(206A-B)이 도시된다. 팩토리 인터페이스 로봇(214)― 로봇(214)의 한 단부에 블레이드(216)가 배치됨 ―은 기판을 처리하기 위해 팩토리 인터페이스(202)로부터 로드락 챔버들(222)을 통해 처리 플랫폼(204)으로 이송하도록 구성된다. 선택적으로, 하나 이상의 처리 챔버들(100, 201, 210, 220, 228)은 팩토리 인터페이스(202)의 터미널(226)에 연결되어 FOUP들(206A-B)로부터의 기판의 처리를 가능하게 할 수 있다.
[0037] 로드락 챔버들(222) 각각은 팩토리 인터페이스(202)에 결합된 제1 포트 및 이송 챔버(236)에 결합된 제2 포트를 갖는다. 로드락 챔버들(222)은 (도시되지 않은) 압력 제어 시스템에 결합되는데, 압력 제어 시스템은 이송 챔버(236)의 진공 환경과 팩토리 인터페이스(202)의 실질적으로 주위(예컨대, 대기) 환경 사이에서 기판을 통과시키는 것을 가능하게 하도록 로드락 챔버들(222)을 펌프 다운(pump down)하고 통기시킨다.
[0038] 이송 챔버(236) 내에는 진공 로봇(230)이 배치된다. 진공 로봇(230)은 로드락 챔버들(222), 증착 챔버(100), 처리 챔버(201) 및 처리 챔버들(201, 210, 220, 228) 사이에서 기판들(301)을 이송할 수 있는 블레이드(234)를 갖는다.
[0039] 시스템(200)의 일 실시예에서, 시스템(200)은 도 1에 도시된 (HDP(high density plasma) 챔버와 같은) 처리 챔버(100) 및 다른 처리 챔버들(201, 210, 220, 228)을 포함할 수 있는데, 이러한 챔버들은 증착 챔버, 에칭 챔버, 열 처리 챔버, 또는 반도체 디바이스들의 트렌치에 채워질 양호한 갭 충전 능력을 가진 유전체 재료를 형성하는 것을 도울 수 있는 다른 유사한 타입의 반도체 처리 챔버일 수 있다.
[0040] 시스템 제어기(244)는 처리 시스템(200)에 결합된다. 컴퓨팅 디바이스(241)를 포함할 수 있거나 컴퓨팅 디바이스(241) 내에 포함될 수 있는 시스템 제어기(244)는 시스템(200)의 처리 챔버들(100, 201, 210, 220, 228)의 직접 제어를 사용하여 처리 시스템(200)의 동작을 제어한다. 대안으로, 시스템 제어기(244)는 처리 챔버들(100, 201, 210, 220, 228) 및 시스템(200)과 연관된 컴퓨터들(또는 제어기들)을 제어할 수 있다. 작동 시, 시스템 제어기(244)는 또한 시스템(200)의 성능을 최적화하도록 각각의 챔버들 및 처리 챔버들(100)로부터의 데이터 수집 및 피드백을 가능하게 한다.
[0041] 위에서 설명한 제어기(170)와 매우 유사한 시스템 제어기(244)는 일반적으로 CPU(central processing unit)(238), 메모리(240) 및 지원 회로들(242)을 포함한다. CPU(238)는 산업 환경에서 사용될 수 있는 범용 컴퓨터 프로세서의 임의의 형태 중 하나일 수 있다. 지원 회로들(242)은 관행대로 CPU(238)에 결합되며, 캐시, 클록 회로들, 입력/출력 서브시스템들, 전원 공급 장치들 등을 포함할 수 있다. 소프트웨어 루틴들은 CPU(238)를 특수 목적 컴퓨터(제어기)(244)로 변환한다. 소프트웨어 루틴들은 또한, 시스템(200)으로부터 원격 위치된 (도시되지 않은) 제2 제어기에 의해 저장 및/또는 실행될 수 있다.
[0042] 도 3은 챔버(100) 또는 다른 적절한 처리 챔버에서 실시될 수 있는 증착 프로세스(300)의 일 실시예의 흐름도이다. 도 4a - 도 4b는 증착 프로세스(300)의 다양한 스테이지들에 대응하는 복합 기판의 일부의 개략적인 단면도들이다. 이 프로세스(300)는 구조들을 위해, 반도체 디바이스들을 위해, 특히 반도체 메모리의 3D(three dimensional) 적층을 위해, 예컨대 5:1보다 높은 고종횡비 피처들을 형성하는 데 이용될 수 있다. 대안으로, 이 프로세스(300)는 다른 타입들의 구조들을 에칭하는 데 유리하게 이용될 수 있다.
[0043] 프로세스(300)는 동작(302)에서, 도 1에 도시된 증착 챔버(100)와 같은 증착 프로세스 챔버에 기판(301)과 같은 기판을 이송(즉, 제공)함으로써 시작된다. 기판(301)은 실질적으로 평평한 표면, 고르지 않은 표면, 또는 그 위에 구조가 형성되는 실질적으로 평평한 표면을 가질 수 있다. 도 4a에 도시된 실시예에서, 기판(301)은 계면 층(402) 상에 배치된 패터닝된 재료 층(404)을 갖는다. 일 실시예에서, 패터닝된 재료 층(404)은 그 내부에 형성된 개구들(408)을 포함한다. 패터닝된 재료 층(404)은 프론트 엔드 또는 백 엔드 프로세스들에서의 게이트 구조, 접촉 구조, 상호 연결 구조, 또는 필요에 따라 임의의 적절한 구조들을 형성하는 데 이용될 수 있다. 일 실시예에서, 패터닝된 재료 층(404)에 대해 이 프로세스(300)가 수행되어, 패터닝된 재료 층(404) 내에 접촉 구조를 형성할 수 있다. 기판(301)은 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 산화물, 변형 실리콘, 실리콘 게르마늄, 도핑 또는 비도핑 폴리실리콘, 도핑 또는 비도핑 실리콘 웨이퍼들 및 패터닝된 또는 패터닝되지 않은 웨이퍼들인 SOI(silicon on insulator), 탄소 도핑 실리콘 산화물들, 실리콘 질화물, 도핑 실리콘, 게르마늄, 갈륨 비화물, 유리, 사파이어와 같은 재료일 수 있다. 기판(301)은 200㎜, 300㎜, 450㎜ 또는 다른 직경의 웨이퍼들과 같은 다양한 치수들뿐만 아니라 직사각형 또는 정사각형 패널들을 가질 수 있다. 달리 언급되지 않는 한, 본 명세서에서 설명되는 실시예들 및 예들은 200㎜ 직경, 300㎜ 직경 또는 450㎜ 직경의 기판을 갖는 기판들에 대해 수행된다. SOI 구조가 기판(301)에 이용되는 실시예에서, 기판(301)은 실리콘 결정질 기판 상에 배치된 매립 유전체 층을 포함할 수 있다. 본 명세서에 도시된 실시예에서, 기판(301)은 결정질 실리콘 기판일 수 있다.
[0044] 일 실시예에서, 계면 층(402)은 유전체 층일 수 있다. 패터닝된 재료 층(404)은 그 내부에 유전체 재료를 증착하기 위해 계면 층(402)의 부분들(410)을 노출시키는 개구들(408)을 갖는다. 본 명세서에서 설명되는 개구들(408)은 트렌치들, 비아들, 개구들 등을 포함할 수 있다. 일 실시예에서, 패터닝된 재료 층(404)은 금속 함유 재료, 실리콘 함유 재료, 탄소 함유 재료들, 또는 다른 적절한 재료들일 수 있다. 금속 함유 재료들의 적절한 예들은 구리 함유 재료, 알루미늄 함유 재료들, 니켈 함유 재료, 텅스텐 함유 재료, 또는 다른 금속 함유 재료들을 포함한다. 적절한 실리콘 함유 재료들은 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 및 이들의 조합들을 포함한다. 적절한 탄소 함유 재료들은 실리콘 탄화물, 비정질 탄소 등을 포함한다. 본 명세서에 도시된 예시적인 실시예에서, 패터닝된 재료 층(404)은 실리콘 층이다.
[0045] 계면 층(402)은 필요에 따라 유전체 산화물 층 또는 유전체 질화물 층과 같은 유전체 층일 수 있다. 계면 층(402)은 다수의 층들, 복합 층들 또는 단일 층을 포함할 수 있다. 유전체 층에 대한 다른 적절한 재료들은 비도핑 실리콘 유리(USG), 이를테면 실리콘 산화물 또는 TEOS, 붕소-실리케이트 유리(BSG), 인-실리케이트 유리(PSG), 붕소-인-실리케이트 유리(BPSG), 실리콘 질화물, 비정질 실리콘, 및 이들의 조합들을 포함한다.
[0046] 일 실시예에서, 패터닝된 재료 층(404)은 미리 결정된 깊이로 패터닝되어, 도 4a에 도시된 바와 같이, 계면 층(402)의 부분들(410)을 노출시킬 수 있다. 재료 층(404)은 임의의 적절한 패터닝 프로세스에서 에칭 또는 패터닝될 수 있다. 패터닝된 재료 층(404)은 처리 챔버에 인가되는 미리 결정된 RF 전력 레벨과 함께 가스 혼합물을 처리 챔버 내에 공급함으로써 패터닝될 수 있다.
[0047] 동작(304)에서, 도 1의 처리 챔버(100)와 같은 처리 챔버에 증착 가스 혼합물이 공급되어, 개구들(408) 내에 (도 4b에 도시된) 유전체 재료(406)를 형성하기 위한 증착 프로세스를 수행한다. 처리 챔버(100)에 공급되는 가스 혼합물은, 개구들(408)에 형성되고 채워질 상이한 타입들의 재료들에 기초하여 달라질 수 있다. 일례로, 형성될 유전체 재료(406)가 실리콘 질화물 층이길 원한다면, 공급되는 증착 가스 혼합물은 적어도 하나의 실리콘 함유 가스, 질소 함유 가스, 또는 선택적으로 불활성 가스를 포함한다. 다른 예에서, 형성될 유전체 재료(406)가 실리콘 산화물 층이길 원한다면, 공급되는 증착 가스 혼합물은 적어도 하나의 실리콘 함유 가스, 산소 함유 가스, 또는 선택적으로 불활성 가스를 포함한다. 다른 예에서, 형성될 유전체 재료(406)가 실리콘 탄화물 층이길 원한다면, 공급되는 증착 가스 혼합물은 적어도 하나의 실리콘 함유 가스, 탄소 함유 가스, 또는 선택적으로 불활성 가스를 포함한다. 또 다른 예에서, 형성될 유전체 재료(406)가 탄화물 층이길 원한다면, 공급되는 증착 가스 혼합물은 적어도 하나의 탄소 함유 가스, 또는 선택적으로 불활성 가스를 포함한다.
[0048] 실리콘 함유 가스의 적절한 예들은 SiH4, TEOS, Si2H6 등을 포함한다. 질소 함유 가스의 적절한 예들은 N2, NH3, N2O, NO2 등을 포함한다. 산소 함유 가스의 적절한 예들은 H2O2, H2O, O2, O3 등을 포함한다. 탄소 함유 가스의 적절한 예들은 CO2, 탄화수소 가스들(이를테면, CH4 및 C2H6) 등을 포함한다. 불활성 가스의 적절한 예들은 He, Ar 등을 포함한다.
[0049] 일부 예들에서, 캐리어 가스들, 이를테면 N2, H2 등이 또한 필요에 따라 증착 가스 혼합물에 공급될 수 있다.
[0050] 일례로, 증착 가스 혼합물은 실리콘 함유 가스, 질소 또는 탄소 함유 가스, 및 Ar 또는 H2 가스를 포함한다. 하나의 특정 예에서, 증착 가스 혼합물은 유전체 재료(406)로서 실리콘 질화물 층을 증착하기 위해 SiH4, Ar 또는 He, NH3, H2 또는 N2, 또는 이들의 조합들을 포함한다. 다른 특정 예에서, 증착 가스 혼합물은 유전체 재료(406)로서 실리콘 탄화물 층을 증착하기 위해 SiH4, Ar 또는 He, CH4 또는 CO2, H2 또는 N2를 포함한다. 또 다른 특정 예에서, 증착 가스 혼합물은 유전체 재료(406)로서 실리콘 산화물 층을 증착하기 위해 SiH4, Ar 또는 He, O2 또는 NO2를 포함한다.
[0051] 일 실시예에서, 실리콘 함유 가스는 용적이 약 30sccm 내지 약 500sccm의 유량으로 제어된다. 산소, 탄소 또는 질소 함유 가스는 용적이 약 50sccm 내지 약 2000sccm의 유량으로 제어된다. Ar 또는 He 가스는 용적이 약 250sccm 내지 약 2000sccm의 유량으로 제어된다.
[0052] 일 실시예에서, 증착 가스 혼합물로부터의 가스들 중 일부는 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 처리 영역(151)으로 공급될 수 있는 한편, 가스들 중 일부는 처리 챔버(100)의 측면, 이를테면 샤워헤드(118) 주위에 측면 방향으로 형성된 유체 소스들(119)을 통해 공급되어 내부 처리 영역(151)으로 전달될 수 있다. 일부 예들에서, 실리콘 함유 가스들과 같은 증착 가스 혼합물로부터의 제1 가스(예컨대, 반응성 전구체들)는 처리 챔버(100)의 측면(예컨대, 유체 소스들(119))으로부터 공급되는 한편, 증착 가스 혼합물로부터의 제2 가스(예컨대, 캐리어 가스, 불활성 가스, 탄소 또는 질소 함유 가스들 또는 다른 가스들)는 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 처리 영역(151)으로 공급된다. 일례로, 제1 가스는 유체 소스(119)로부터 처리 챔버 내로 공급되는 SiH4 가스인 한편, 제2 가스는 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 처리 영역(151)으로 공급되는 Ar, He, NH3, H2, N2, 또는 이들의 조합들 중 적어도 하나이다.
[0053] 증착 가스 혼합물이 처리 챔버에 공급된 후, 동작(306)에서, 원격 플라즈마 소스(104)에 원격 플라즈마 소스 전력이 인가되어, 원격 플라즈마 소스(104)로부터 내부 처리 영역(151)으로 전달될 원격 플라즈마를 생성한다. 높은 에너지를 제공할 수 있지만 상대적으로 저밀도 가스 라디칼들을 제공할 수 있는, 샤워헤드에 인가되는 종래의 챔버 내 RF 소스 전력과 비교하여, 원격으로 해리된 가스 및/또는 다른 가스들은 고밀도 및 저에너지 원자 종을 제공할 수 있다고 여겨진다. 따라서 측면으로부터, 예컨대 유체 소스들(119)로부터 공급되는 가스들과 함께 특정 활성 가스 종을 갖는 원격 플라즈마 소스를 이용함으로써, 그에 따라 상대적으로 저에너지 원자 종을 갖는 고밀도 가스 종이 내부 처리 영역(151)으로 전달되어, 도 4b에 도시된 바와 같이, 기판(301) 상에 유전체 재료(406)를 형성한다. 원격 플라즈마 소스로부터의 원자 가스 종은 더 높은 수준의 반응성을 가지며, 이는 측면으로부터, 예컨대 유체 소스들(119)로부터 공급되는 반응 가스 전구체들과 보다 효율적이고 느리며 철저하게 반응할 수 있어, 패터닝된 재료 층(404)에 한정된 개구들(408) 내에 유전체 재료(406)를 채우는 양호한 갭 충전 능력을 제공하는 것으로 여겨진다.
[0054] 처리 챔버 내로 도입된 각각의 가스의 양은 예를 들어, 개구들(408)에 형성될 유전체 재료(406)의 두께(예컨대, 개구들(408)의 높이 또는 기하학적 형상)를 수용하도록 변경 및 조절될 수 있다는 점이 주목된다. 하나 이상의 실시예들에서, 원격 플라즈마 소스(104)로부터 공급되는 가스들은 특정 비율들을 가질 수 있다. 예를 들어, 질소 또는 탄소 함유 가스 대 Ar 가스의 비는 용적이 약 0.2:1 내지 약 2:1로 제어될 수 있다.
[0055] 일례로, 약 1000와트 내지 약 10000와트, 이를테면 약 2000와트 내지 약 4000와트의 원격 RF 소스 전력이 원격 플라즈마 소스(104)에 공급되어, 내부 처리 영역(151)에 전달될 원격 플라즈마를 생성한다. 원격 플라즈마 소스(104)에 대한 전력이 인가되는 주파수는 약 400㎑이다. 주파수는 약 50㎑ 내지 약 2.45㎓ 범위일 수 있다. 기판 온도는 약 -20℃ 내지 약 200℃, 이를테면 약 20℃ 내지 약 90℃로 유지된다.
[0056] 원격 플라즈마 소스(104)로부터 내부 처리 영역(151)으로 원격 플라즈마를 공급하는 동안, 동작(308)에서, 기판 지지 부재(152)에 펄스형 RF 바이어스 전력이 인가되어, 기판 지지 부재(152) 상에 배치된 기판(301)에 RF 바이어스 전력을 발생시킬 수 있다. 기판 지지 부재(152)에 발생된 RF 바이어스 전력은 증착 프로세스 동안 내부 처리 영역(151)에서 플라즈마에 대한 방향성을 제공하는 것을 돕는 데 이용된다는 점이 주목된다. 일 실시예에서, RF 바이어스 전력은 필요에 따라 60㎒ 및/또는 2㎒로 제공될 수 있다. 하나의 특정 실시예에서, RF 바이어스 전력은 60㎒로, 2㎒로 또는 400㎑로 제공된다.
[0057] 일부 예들에서, RF 바이어스 전력은 펄스 모드로 기판 지지 부재(152)에 공급될 수 있다. 펄스 모드로 공급된 RF 바이어스 전력은 기판 지지 어셈블리 상에 배치된 기판(301)에 비교적 약한(mild) RF 전력 레벨을 제공할 수 있어 반응성 종이 비교적 약한 에너지 레벨로 기판(301)을 향해 가속될 수 있다고 여겨진다. 기판 지지 부재(152)에 공급되는 RF 바이어스 전력은 원격 플라즈마 소스(104)로부터 공급되는 원격 플라즈마 전력과 동시에, 함께, 개별적으로, 교대로 또는 순차적으로 있을 수 있다.
[0058] 도 5a - 도 5c는 증착 프로세스 동안 기판 지지 부재(152)에 공급되는 RF 바이어스 전력의 서로 다른 예들을 도시한다. 도 5a - 도 5c는 시간의 함수로서 플롯팅된, 처리 챔버(100)에 공급되는 전력 강도를 도시한다. 도 5a - 도 5c에 도시된 트레이스 라인들(502, 510, 520)은 원격 플라즈마 소스(104)로부터 공급되는 전력 강도를 보여주는 한편, 트레이스 라인들(504, 512, 522)은 RF 바이어스 전원(145)으로부터 기판 지지 부재(152)로 공급되는 전력 강도를 나타낸다.
[0059] 도 5a에 도시된 그래프(550)에서는, 제1 시점(506)에서 제2 시점(508)까지의 미리 결정된 시간 기간 동안 기판 지지 부재(152) 및 기판(301)에 공급되는 RF 바이어스 전력과 함께 원격 플라즈마 소스(104)로부터 원격 플라즈마가 공급된다. 원격 플라즈마 소스(104)로부터의 원격 플라즈마는 연속 모드로 공급되는 한편, 기판 지지 부재(152)에 공급되는 RF 바이어스 전력은 펄스 모드이다. 원격 플라즈마 소스(104)로부터의 원격 플라즈마 및 기판 지지 부재(152)에 공급되는 RF 바이어스 전력은, 재료 층(404)의 개구들(408)에 원하는 두께의 유전체 재료(406)가 채워지는 제2 시점(508)에서 함께 종료(즉, 오프 전환)될 수 있다. 이 예에서, 재료 층(404)에 형성된 유전체 재료(406)는 실리콘 질화물 재료일 수 있다.
[0060] 도 5b에 도시된 그래프(552)에서는, 제1 시점(514)에서 제2 시점(516)까지 원격 플라즈마 소스(104)로부터 원격 플라즈마가 공급된다. 다음에, 원격 플라즈마 소스(104)에 인가된 전력이 제2 시점(516)에서 종료(예컨대, 원격 플라즈마 소스(104)로부터 공급되는 원격 플라즈마가 오프 전환)된 후, 다음에 제2 시점(516)에서 제3 시점(518)까지 펄스 모드로 기판 지지 부재(152)에 RF 바이어스 전력이 공급된다. 펄스 모드로 기판 지지 부재(152)에 공급된 RF 바이어스 전력은, 원하는 두께의 유전체 재료(406)가 재료 층(404)의 개구들(408)에 채워질 때 종료(즉, 오프 전환)될 수 있다. 이 예에서, 재료 층(404)에 형성된 유전체 재료(406)는 실리콘 질화물 재료일 수 있다.
[0061] 도 5c에 도시된 그래프(554)에서는, 제1 시점(524)에서 제2 시점(525)까지 원격 플라즈마 소스(104)로부터 원격 플라즈마가 공급된다. 원격 플라즈마 소스(104)로부터의 원격 플라즈마가 여전히 계속 공급되면서, 다음에 제2 시점(525)에서 제3 시점(526)까지 펄스 모드로 기판 지지 부재(152)에 RF 바이어스 전력이 공급된다. 따라서 원격 플라즈마 소스(104)로부터의 원격 플라즈마는 기판 지지 부재(152)에 대한 RF 바이어스 전력과 부분적으로 동시에 공급된다. 원격 플라즈마 소스(104)로부터의 원격 플라즈마 및 펄스 모드로 기판 지지 부재(152)에 공급되는 RF 바이어스 전력은, 원하는 두께의 유전체 재료(406)가 재료 층(404)의 개구들(408)에 채워지는 제3 시점(526)에 함께 종료(즉, 오프 전환)될 수 있다.
[0062] 원격 플라즈마 소스(104)로부터의 원격 플라즈마 및 기판 지지 부재(152)에 대한 RF 바이어스 전력의 공급은 필요에 따라 임의의 배열, 임의의 순서 및 임의의 시퀀스로 이루어질 수 있다는 점이 주목된다.
[0063] 기판 지지 부재(152)에 공급되는 RF 바이어스 전력은 플라즈마의 전자들, 이온들 및 다른 종들을 개구들(408)의 깊은 단부(예컨대, 계면 층(402)의 노출된 부분들(410))를 향해 가속시키는 것을 도울 수 있다고 여겨진다. RF 바이어스 전력으로부터 발생된 가속은 개구들(408) 내에 유전체 재료(406)를 채우는 동안 갭 충전 능력을 향상시키는 데 도움이 될 수 있다. 게다가, RF 바이어스 전력으로부터 펄스 시간 주기들 및 펄스 전력 강도들을 제어함으로써, 필요에 따라 증착 프로파일, 반응성 종 궤적 및 증착 방향성을 제어하도록, 과도한 에너지의 또는 공격적인 반응성 종들이 제거될 수 있다.
[0064] 일례로, RF 바이어스 전력은 필요에 따라 60㎒ 및/또는 2㎒로 제공될 수 있다. 하나의 특정 실시예에서, RF 바이어스 전력은 약 162㎒, 60㎒ 또는 2㎒로 제공된다. 일례로, 2㎒ 주파수를 갖는 RF 바이어스 전력은 약 1000와트 내지 약 4000와트, 이를테면 약 1500와트 내지 약 3000와트로 기판 지지 부재(152)에 공급된다. RF 바이어스 전력은 약 210마이크로초 내지 약 100밀리초의 지속기간에 이용될 수 있다. 기판 온도는 약 -20℃ 내지 약 200℃, 이를테면 약 20℃ 내지 약 90℃로 유지된다.
[0065] 도 4b에 도시된 바와 같이, 패터닝된 재료 층(404)의 개구들(408)이 유전체 재료(406)로 채워질 때까지, 도 3의 루프(309)로 표시된 바와 같이, 동작(306)과 동작(308)이 반복적으로(즉, 주기적으로) 수행될 수 있다는 점이 주목된다. 반복된 프로세스들은 양호한 갭 충전 능력으로 유전체 재료(406)를 주기적으로 그리고 점진적으로 증착할 수 있다. 과도하게 공격적인 반응성 종은 상대적으로 더 높은 증착률로 반응하여, 결국 개구들(408)의 조기 폐쇄로 이어져, 개구들(408)을 유전체 재료(406)로 채우는 동안 개구들(408)에 원하지 않는 공극들 또는 에어 갭들을 형성할 수 있다. 점진적 증착은 갭 충전 능력을 개선하고, 재료 층(404)의 개구들(408)에 형성된 유전체 재료(406)의 증착 프로파일을 향상시킨다.
[0066] 동작(310)에서, 개구들(408)에 원하는 두께의 유전체 재료(406)가 채워진 후, 다음에는 유전체 재료(406)가 기판(301) 상에 형성된다. 이와 같이, 다음에 프로세스(300)가 종료되고, 처리 챔버에서 기판(301)이 제거될 수 있다.
[0067] 양호한 갭 충전 능력을 갖는 증착 프로세스를 이용함으로써, 제조 주기 시간 및 비용을 개선하도록 추가로 처리 프로세스 또는 경화 프로세스가 제거될 수 있다.
[0068] 따라서 증착 프로세스 중에 원격 플라즈마 전력 및 RF 바이어스 전력의 적절한 관리에 의해 높은 종횡비와 작은 치수를 갖는 개구에 유전체 재료를 증착하기 위한 방법들이 제공된다. 증착 프로세스 동안 RF 바이어스 전력 및 원격 플라즈마 전력을 서로 다른 공급 모드(예컨대, 연속 모드 또는 펄스 모드)로 교대로, 동시에 또는 부분적으로 동시에 공급함으로써, 양호한 갭 충전 능력을 갖는 증착 프로세스가 얻어진다. 그렇게 함으로써, 특히 반도체 칩의 3D(three dimensional) 적층의 응용들을 위해, 높은 종횡비와 작은 치수를 갖는 개구들에 유전체 재료를 채우기 위한 개선된 증착 프로세스가 얻어진다.
[0069] 전술한 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 기본 범위를 벗어나지 않으면서 본 발명의 다른 실시예들 및 추가 실시예들이 안출될 수 있으며, 본 발명의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 내부에 기판이 배치된 처리 챔버 내에 가스 혼합물을 제공하는 단계;
    원격 플라즈마 소스에서 원격 플라즈마를 형성하고 상기 원격 플라즈마를 상기 처리 챔버에 한정된 내부 처리 영역으로 전달하는 단계;
    펄스 모드에서 상기 처리 챔버에 RF 바이어스 전력을 인가하는 단계; 및
    상기 가스 혼합물 및 상기 원격 플라즈마의 존재 하에 상기 기판 상에 배치된 재료 층에 한정된 개구에 유전체 재료를 형성하는 단계를 포함하는,
    유전체 재료를 증착하는 방법.
  2. 제1 항에 있어서,
    상기 원격 플라즈마는 상기 처리 챔버에 상기 RF 바이어스 전력을 인가하기 전에 형성되는,
    유전체 재료를 증착하는 방법.
  3. 제1 항에 있어서,
    상기 RF 바이어스 전력을 인가하는 것과 함께 상기 원격 플라즈마를 형성하는 단계를 더 포함하는,
    유전체 재료를 증착하는 방법.
  4. 제1 항에 있어서,
    상기 원격 플라즈마를 형성하는 것은,
    미리 결정된 시간 기간 동안 상기 원격 플라즈마를 형성하는 것; 및
    상기 RF 바이어스 전력을 인가하기 전에 상기 원격 플라즈마를 종료하는 것을 더 포함하는,
    유전체 재료를 증착하는 방법.
  5. 제1 항에 있어서,
    상기 가스 혼합물은 상기 처리 챔버의 측면을 통해 상기 내부 처리 영역으로 공급되는 제1 가스를 포함하는,
    유전체 재료를 증착하는 방법.
  6. 제5 항에 있어서,
    상기 제1 가스는 실리콘 함유 가스를 포함하는,
    유전체 재료를 증착하는 방법.
  7. 제1 항에 있어서,
    상기 가스 혼합물은 상기 원격 플라즈마 소스를 통해 상기 내부 처리 영역으로 공급되는 제2 가스를 포함하는,
    유전체 재료를 증착하는 방법.
  8. 제7 항에 있어서,
    상기 원격 플라즈마는 상기 가스 혼합물로부터의 상기 제2 가스의 존재 하에 상기 원격 플라즈마 소스에서 형성되는,
    유전체 재료를 증착하는 방법.
  9. 제8 항에 있어서,
    상기 제2 가스는 질소 함유 가스, 불활성 가스, 탄소 함유 가스 및 산소 함유 가스로 구성된 그룹으로부터 선택되는,
    유전체 재료를 증착하는 방법.
  10. 제9 항에 있어서,
    상기 제2 가스는 Ar, He, NH3, H2, N2, 및 이들의 조합들 중 적어도 하나를 포함하는,
    유전체 재료를 증착하는 방법.
  11. 제4 항에 있어서,
    상기 기판 상에 형성된 유전체 재료는 실리콘 질화물 층인,
    유전체 재료를 증착하는 방법.
  12. 제3 항에 있어서,
    상기 기판 상에 형성된 유전체 재료는 실리콘 탄화물 층인,
    유전체 재료를 증착하는 방법.
  13. 제1 항에 있어서,
    기판 온도를 약 -20℃ 내지 약 200℃로 유지하는 단계를 더 포함하는,
    유전체 재료를 증착하는 방법.
  14. 제1 항에 있어서,
    상기 RF 바이어스 전력은 상기 펄스 모드에서 약 210마이크로초 내지 약 100밀리초의 지속기간에 인가되는,
    유전체 재료를 증착하는 방법.
  15. 제1 항에 있어서,
    상기 RF 바이어스 전력은 약 2㎒의 RF 주파수를 갖는,
    유전체 재료를 증착하는 방법.
KR1020217010976A 2018-09-17 2019-08-20 유전체 재료를 증착하기 위한 방법들 KR102514465B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237009715A KR20230044033A (ko) 2018-09-17 2019-08-20 유전체 재료를 증착하기 위한 방법들

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/132,837 US11114306B2 (en) 2018-09-17 2018-09-17 Methods for depositing dielectric material
US16/132,837 2018-09-17
PCT/US2019/047343 WO2020060712A1 (en) 2018-09-17 2019-08-20 Methods for depositing dielectric material

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237009715A Division KR20230044033A (ko) 2018-09-17 2019-08-20 유전체 재료를 증착하기 위한 방법들

Publications (2)

Publication Number Publication Date
KR20210043746A true KR20210043746A (ko) 2021-04-21
KR102514465B1 KR102514465B1 (ko) 2023-03-24

Family

ID=69772255

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217010976A KR102514465B1 (ko) 2018-09-17 2019-08-20 유전체 재료를 증착하기 위한 방법들
KR1020237009715A KR20230044033A (ko) 2018-09-17 2019-08-20 유전체 재료를 증착하기 위한 방법들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020237009715A KR20230044033A (ko) 2018-09-17 2019-08-20 유전체 재료를 증착하기 위한 방법들

Country Status (6)

Country Link
US (2) US11114306B2 (ko)
JP (1) JP7176106B2 (ko)
KR (2) KR102514465B1 (ko)
CN (1) CN112673457A (ko)
TW (1) TWI837174B (ko)
WO (1) WO2020060712A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
KR20200080460A (ko) * 2018-12-26 2020-07-07 삼성전자주식회사 반도체 소자 제조 방법 및 반도체 공정 설비
CN114868234A (zh) * 2019-10-29 2022-08-05 朗姆研究公司 实现无缝高质量填隙的方法
JP7305700B2 (ja) * 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US20220364230A1 (en) * 2021-05-12 2022-11-17 Applied Materials, Inc. Pulsing plasma treatment for film densification
WO2023095374A1 (ja) * 2021-11-26 2023-06-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及び基板処理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
KR20170126494A (ko) * 2015-03-17 2017-11-17 어플라이드 머티어리얼스, 인코포레이티드 막 퇴적을 위한 펄스화된 플라즈마

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6136388A (en) * 1997-12-01 2000-10-24 Applied Materials, Inc. Substrate processing chamber with tunable impedance
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6335292B1 (en) 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
US6130169A (en) 1999-05-21 2000-10-10 Advanced Micro Devices, Inc. Efficient in-situ resist strip process for heavy polymer metal etch
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
EP1081751A3 (en) * 1999-09-02 2003-03-19 Applied Materials, Inc. Methods of pre-cleaning dielectric layers of substrates
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6875700B2 (en) 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960416B2 (en) 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
KR100529298B1 (ko) * 2003-03-20 2005-11-17 학교법인 한양학원 Dc 바이어스를 이용한 리모트 플라즈마 원자층 증착 장치
US8257546B2 (en) 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US6911399B2 (en) 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7571698B2 (en) 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US7491647B2 (en) 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7465680B2 (en) * 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7276447B1 (en) 2006-04-11 2007-10-02 Applied Materials, Inc. Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7704897B2 (en) * 2008-02-22 2010-04-27 Applied Materials, Inc. HDP-CVD SiON films for gap-fill
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
WO2011097178A2 (en) * 2010-02-02 2011-08-11 Applied Materials, Inc. Methods for nitridation and oxidation
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US8692467B2 (en) 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
KR20140049075A (ko) * 2011-09-30 2014-04-24 인텔 코오퍼레이션 트랜지스터 게이트용 캡핑 유전체 구조
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5822795B2 (ja) 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20140186544A1 (en) * 2013-01-02 2014-07-03 Applied Materials, Inc. Metal processing using high density plasma
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9508561B2 (en) * 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9406522B2 (en) * 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US9595467B2 (en) * 2014-11-14 2017-03-14 Applied Materials, Inc. Air gap formation in interconnection structure by implantation process
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US10032604B2 (en) * 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US20170092492A1 (en) * 2015-09-28 2017-03-30 Applied Materials, Inc. Methods for forming a silicon containing dielectric film using a gas mixture with ar gas dilusion
KR20170097270A (ko) * 2016-02-17 2017-08-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN109072426B (zh) * 2016-02-26 2021-12-03 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10249495B2 (en) * 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
CN113330141B (zh) * 2019-01-24 2023-10-17 应用材料公司 沉积氮化硅的方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001148382A (ja) * 1999-06-22 2001-05-29 Applied Materials Inc 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
KR20170126494A (ko) * 2015-03-17 2017-11-17 어플라이드 머티어리얼스, 인코포레이티드 막 퇴적을 위한 펄스화된 플라즈마

Also Published As

Publication number Publication date
US11631591B2 (en) 2023-04-18
WO2020060712A1 (en) 2020-03-26
JP7176106B2 (ja) 2022-11-21
KR102514465B1 (ko) 2023-03-24
TW202025283A (zh) 2020-07-01
US11114306B2 (en) 2021-09-07
KR20230044033A (ko) 2023-03-31
US20200090946A1 (en) 2020-03-19
CN112673457A (zh) 2021-04-16
US20210384040A1 (en) 2021-12-09
JP2022500867A (ja) 2022-01-04
TWI837174B (zh) 2024-04-01

Similar Documents

Publication Publication Date Title
KR102514465B1 (ko) 유전체 재료를 증착하기 위한 방법들
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
US20230178419A1 (en) Scaled liner layer for isolation structure
US9640385B2 (en) Gate electrode material residual removal process
US10128337B2 (en) Methods for forming fin structures with desired profile for 3D structure semiconductor applications
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
US20220351969A1 (en) Methods and apparatus for curing dielectric material
TWI855162B (zh) 沉積介電材料的方法與設備
TW202429569A (zh) 沉積介電材料之方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant