TWI837174B - 沉積介電材料之方法 - Google Patents
沉積介電材料之方法 Download PDFInfo
- Publication number
- TWI837174B TWI837174B TW108132388A TW108132388A TWI837174B TW I837174 B TWI837174 B TW I837174B TW 108132388 A TW108132388 A TW 108132388A TW 108132388 A TW108132388 A TW 108132388A TW I837174 B TWI837174 B TW I837174B
- Authority
- TW
- Taiwan
- Prior art keywords
- remote plasma
- substrate
- gas
- dielectric material
- bias power
- Prior art date
Links
- 239000003989 dielectric material Substances 0.000 title claims abstract description 61
- 238000000151 deposition Methods 0.000 title claims abstract description 47
- 238000000034 method Methods 0.000 title claims abstract description 47
- 238000012545 processing Methods 0.000 claims abstract description 124
- 239000000758 substrate Substances 0.000 claims abstract description 108
- 239000000463 material Substances 0.000 claims abstract description 47
- 239000000203 mixture Substances 0.000 claims abstract description 30
- 239000007789 gas Substances 0.000 claims description 100
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 19
- 229910052710 silicon Inorganic materials 0.000 claims description 19
- 239000010703 silicon Substances 0.000 claims description 19
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 10
- 229910052799 carbon Inorganic materials 0.000 claims description 10
- 229910052760 oxygen Inorganic materials 0.000 claims description 9
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 8
- 239000011261 inert gas Substances 0.000 claims description 8
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 8
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 5
- 239000001301 oxygen Substances 0.000 claims description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 4
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 4
- 230000008021 deposition Effects 0.000 abstract description 28
- 239000004065 semiconductor Substances 0.000 abstract description 17
- 238000004519 manufacturing process Methods 0.000 abstract description 5
- 239000010410 layer Substances 0.000 description 51
- 150000003254 radicals Chemical class 0.000 description 27
- 230000008569 process Effects 0.000 description 18
- 238000005137 deposition process Methods 0.000 description 17
- 239000012530 fluid Substances 0.000 description 15
- 238000010438 heat treatment Methods 0.000 description 11
- 238000012546 transfer Methods 0.000 description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 238000001816 cooling Methods 0.000 description 7
- 238000005086 pumping Methods 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- 235000012431 wafers Nutrition 0.000 description 6
- 230000007246 mechanism Effects 0.000 description 5
- 229920002120 photoresistant polymer Polymers 0.000 description 5
- 230000006854 communication Effects 0.000 description 4
- 238000004891 communication Methods 0.000 description 4
- 230000007547 defect Effects 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 238000009616 inductively coupled plasma Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 238000003032 molecular docking Methods 0.000 description 3
- 239000010980 sapphire Substances 0.000 description 3
- 229910052594 sapphire Inorganic materials 0.000 description 3
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 239000005388 borosilicate glass Substances 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 2
- 229910021419 crystalline silicon Inorganic materials 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- -1 Si<100> or Si<111>) Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 230000001133 acceleration Effects 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 230000007175 bidirectional communication Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000002826 coolant Substances 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 238000013480 data collection Methods 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 238000010248 power generation Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 239000012713 reactive precursor Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000009966 trimming Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/515—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/517—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32422—Arrangement for selecting ions or species in the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32697—Electrostatic control
- H01J37/32706—Polarising the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02312—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
- H01L21/02315—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
- H01J2237/3321—CVD [Chemical Vapor Deposition]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
- H01J2237/3322—Problems associated with coating
- H01J2237/3327—Coating high aspect ratio workpieces
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Electromagnetism (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
- Inorganic Chemistry (AREA)
Abstract
本發明的實施例提供了一種用於使用RF偏壓脈衝以及遠端電漿源沉積來沉積介電材料的設備和方法,以用於製造半導體裝置,特別是用於在半導體應用中填充具有高深寬比的開口。在一個實施例中,一種沉積介電材料的方法包括以下步驟:將氣體混合物提供到處理腔室中,處理腔室中設置有基板;在遠端電漿源中形成遠端電漿並將遠端電漿輸送到處理腔室中界定的內部處理區域;以脈衝模式將RF偏壓功率施加到處理腔室;及在存在氣體混合物和遠端電漿的情況下,在設置在基板上的材料層中界定的開口中形成介電材料。
Description
本發明的實施例一般關於用於在沉積期間使用RF偏壓脈衝控制來沉積介電材料的方法,且更具體地關於在半導體應用中的沉積期間使用遠端電漿控制以及RF偏壓脈衝來沉積介電材料的方法。
可靠地產生次半微米和更小的特徵是半導體裝置的下一代超大規模積體電路(VLSI)和極大規模積體電路(ULSI)的關鍵技術挑戰之一。然而,隨著電路技術的限制被推動,VLSI和ULSI互連技術的縮小尺寸對處理能力提出了額外的要求。在基板上可靠地形成閘極結構對於VLSI和ULSI的成功以及持續努力以增加單個基板和晶粒的電路密度和品質是重要的。
圖案化遮罩(諸如光阻層)通常用於藉由蝕刻處理在基板上形成結構(諸如閘極結構、淺溝槽隔離(STI)、位元線及類似者)。通常藉由使用光刻處理來製造圖案化遮罩,以將具有期望臨界尺寸的圖案光學轉移到光阻層。光阻層接著顯影以移除非期望的光阻的部分,從而在剩餘的光阻中產生開口。
為了能夠製造下一代裝置和結構,通常利用半導體晶片的三維(3D)堆疊來改善電晶體的效能。藉由
以三維而不是傳統的二維佈置電晶體,可將多個電晶體彼此非常靠近地放置在積體電路(IC)中。半導體晶片的三維(3D)堆疊減少了導線長度並且使佈線延遲保持較低。隨著溝槽的寬度繼續縮小,深寬比(深度除以寬度)繼續增長以用於堆疊半導體晶片。關於高深寬比溝槽的製造的一個挑戰是避免在溝槽中沉積介電材料期間形成空隙。
為了填充溝槽,沉積一層介電材料(諸如氧化矽)。介電層通常覆蓋場及溝槽的壁和底部。若溝槽是寬且淺,則完全填充溝槽相對容易。然而,隨著溝槽的深寬比增加,溝槽的開口變得將更可能「夾斷」,在溝槽內形成空隙(如,缺陷)。
為了降低在溝槽內形成空隙或在溝槽內形成接縫的可能性,已經開發了許多不同的處理技術,以用最小缺陷的介電材料填充溝槽。在沉積處理期間不良的處理控制將導致不規則的結構輪廓或溝槽的早期閉合,導致當用介電材料填充溝槽時的溝槽中的空隙或氣隙。
因此,存在改進用於在溝槽中形成具有最小缺陷的所期望輪廓的介電材料的沉積處理的需求。
本發明的實施例提供了一種用於使用RF偏壓脈衝以及遠端電漿源沉積來沉積介電材料的設備和方法,以用於製造半導體裝置,特別是用於在半導體應用中填充具有高深寬比的開口。在一個實施例中,一種沉積介
電材料的方法包括以下步驟:將氣體混合物提供到處理腔室中,處理腔室中設置有基板;在遠端電漿源中形成遠端電漿並將遠端電漿輸送到處理腔室中界定的內部處理區域;以脈衝模式將RF偏壓功率施加到處理腔室;及在存在氣體混合物和遠端電漿的情況下,在設置在基板上的材料層中界定的開口中形成介電材料。
在另一實施例中,一種用於形成介電材料的方法包括以下步驟:從遠端電漿源形成遠端電漿並將遠端電漿輸送到處理腔室的內部處理區域;將RF偏壓功率施加到設置在處理腔室中的基板支撐構件;保持基板溫度在約攝氏-20度至約攝氏200度之間;及在設置在基板上的材料層中界定的開口中形成介電材料。
在又一個實施例中,一種用於形成介電材料的方法包括以下步驟:藉由從遠端電漿和以脈衝模式的RF偏壓功率供應給其中設置有基板的處理腔室的內部處理區域而形成的介電材料在基板上填充具有深寬比大於5:1的開口。
100:處理腔室/腔室
102:處理腔室主體
104:遠端電漿源
106:氣體源
108:自由基導管
110:自由基腔
112:蓋組件
114:頂板
116:蓋邊
118:噴頭
119:流體源
120:自由基導管支撐構件
122:襯墊
124:管
126:開口
130:腔室主體
132:基板支撐組件
134:襯墊
135:狹縫閥
136:孔
138:泵送通道
140:真空系統
142:真空埠
143:RF功率源
144:閥
145:RF偏壓功率源
146:真空泵
147:RF源功率匹配盒
148:下表面
151:內部處理區域
152:基板支撐構件
154:舉升機構
156:軸
158:中心定位的開口
160:波紋管
162:加熱元件
163:電極
164:冷卻通道
170:控制器
172:CPU
174:記憶體
176:支持電路
200:示例性處理系統/系統
201:處理腔室
202:工廠介面
204:處理平台
206A:FOUP
206B:FOUP
208:對接站
210:處理腔室
214:工廠介面機器人/機器人
216:葉片
220:處理腔室
222:負載鎖定腔室
226:終端
228:處理腔室
230:真空機器人
234:葉片
236:傳送腔室
238:CPU
240:記憶體
241:計算裝置
242:支持電路
244:系統控制器/專用電腦(控制器)
300:沉積處理/處理
301:基板
302:操作
304:操作
306:操作
308:操作
309:迴路
310:操作
351:內部處理區域
402:介面層
404:圖案化材料層/材料層
406:介電材料
408:開口
410:曝露部分/部分
502:跡線
504:跡線
506:第一時間點
508:第二時間點
510:跡線
512:跡線
514:第一時間點
516:第二時間點
518:第三時間點
520:跡線
522:跡線
524:第一時間點
525:第二時間點
526:第三時間點
550:曲線圖
552:曲線圖
554:曲線圖
因此,可詳細地理解本發明的上述特徵的方式,可藉由參考實施例獲得上面簡要概述的本發明的更具體的描述,其中一些實施例顯示在附隨的圖式中。然而,應注意,附隨的圖式僅顯示了本發明的典型實施例,且因此不應視為限制本發明的範圍,因為本發明可允許其他等效的實施例。
第1圖描繪了根據本揭露書的一些實施例的用以執行沉積處理的設備;第2圖描繪了包括第1圖的設備的處理工具的一個實施例的頂部平面;第3圖是說明結合本揭露書的一個實施例的用於形成介電材料的方法的處理流程圖;第4A-4B圖描繪了根據本揭露書的一些實施例的用於沉積介電材料的順序的一個實施例;及第5A-5C圖描繪了根據本揭露書的一些實施例的在第3圖的沉積處理期間利用的遠端電漿源功率和RF偏壓功率控制的不同實施例。
為促進理解,在可能的情況下,使用相同的元件符號來表示圖式中共有的相同元件。可預期的是一個實施例的元件和特徵可有利地併入其他實施例中而無需進一步敘述。
然而,應注意附隨的圖式僅顯示了這個發明的示例性實施例,且因此不應視為限制本發明的範圍,因為本發明可允許其他等效的實施例。
本發明提供了用於在半導體裝置的高深寬比的溝槽中沉積介電材料的方法,特別是用於半導體晶片的三維(3D)堆疊。在一個實施例中,沉積處理可使用遠端電漿和脈衝模式的RF偏壓功率,供應給其上放置基板的基板支撐組件。在沒有腔室內RF源功率產生的情況下
執行沉積處理。遠端產生的遠端電漿和脈衝RF偏壓功率可根據需要同步地、交替地或順序地供應給處理腔室的內部處理區域,以便為從基板填充溝槽的介電材料提供良好的間隙填充能力。
第1圖是用於執行沉積處理的處理腔室100的橫截面圖,沉積處理可沉積用於半導體應用的介電材料,尤其是具有良好氣體填充能力的介電材料。可適合於此所揭露的教示一起使用的合適處理腔室包括(例如)可從加州聖克拉拉市的應用材料公司獲得的HDP-PRODUCE®或C3®處理腔室。儘管所示的處理腔室100包括能夠實現優異的遮罩修整和結構再成形處理的複數個特徵,應預期其他處理腔室可適於受益於於此揭露的一個或多個發明特徵。
處理腔室100包括處理腔室主體102和耦合到處理腔室主體102的遠端電漿源104。遠端電漿源104可為能夠產生自由基的任何合適的源。遠端電漿源104可為遠端電漿源,諸如射頻(RF)或非常高射頻(VHRF)電容耦合電漿(CCP)源、電感耦合電漿(ICP)源、微波感應(MW)電漿源、電子迴旋共振(ECR)腔室或高密度電漿(HDP)腔室。遠端電漿源104可包括一個或多個氣體源106,且遠端電漿源104可藉由自由基導管108耦合到處理腔室100。可為自由基形成氣體的一種或多種處理氣體可經由一個或多個氣體源106進入遠端電漿源104。一種或多種處理氣體可包含含氯氣體、含氟氣
體、惰性氣體、含氧氣體、含氮氣體、含氫氣體或其任何組合。在遠端電漿源104中產生的自由基通過耦接到處理腔室100的自由基導管108而行進到處理腔室100中,到達處理腔室100中界定的內部處理區域151。
自由基導管108是蓋組件112的一部分,蓋組件112還包括自由基腔110、頂板114、蓋邊116和噴頭118。自由基導管108可包含基本上為對自由基不反應的材料。例如,自由基導管108可包含AlN、SiO2、Y2O3、MgO、陽極氧化Al2O3、藍寶石、含有Al2O3、藍寶石、AlN、Y2O3、MgO或塑膠的一種或多種的陶瓷。合適的SiO2材料的代表性示例是石英。自由基導管108可設置在自由基導管支撐構件120內並由自由基導管支撐構件120支撐。自由基導管支撐構件120可設置在頂板114上,頂板114安置在蓋邊116上。
自由基腔110定位在自由基導管108下方並耦合到自由基導管108,且在遠端電漿源104中產生的自由基通過自由基導管108行進到自由基腔110。自由基腔110由頂板114、蓋邊116和噴頭118界定。任選地,自由基腔110可包括襯墊122。襯墊122可覆蓋頂板114和蓋邊116的曝露於自由基腔110的表面。來自遠端電漿源104的自由基穿過設置在噴頭118中的複數個管124以進到內部處理區域151中。噴頭118進一步包括複數個開口126,開口126的直徑小於複數個管124的直徑。複數個開口126連接到不與複數個個管124流體連通的內部
容積(未顯示)。一個或多個流體源119可耦接到噴頭118以用於將流體混合物引到處理腔室100的內部處理區域151中。流體混合物可包括前驅物、成孔劑及/或載體流體。流體混合物可為氣體和液體的混合物。
處理腔室100可包括蓋組件112、腔室主體130和基板支撐組件132。基板支撐組件132可至少部分地設置在腔室主體130內。腔室主體130可包括狹縫閥135,以提供進入處理腔室100內部的通道。腔室主體130可包括覆蓋腔室主體130的內表面的襯墊134。襯墊134可包括一個或多個孔136和形成於其中的泵送通道138,泵送通道138與真空系統140流體連通。孔136提供氣體進到泵送通道138中的流動路徑,泵送通道為處理腔室100內的氣體提供出口。
真空系統140可包括真空埠142、閥144和真空泵146。真空泵146經由真空埠142與泵送通道138流體連通。孔136允許泵送通道138與腔室主體130內的內部處理區域151流體連通。內部處理區域151由噴頭118的下表面148和基板支撐組件132的上表面界定,且內部處理區域151被襯墊134包圍。
基板支撐組件132可包括基板支撐構件152以支撐基板(未顯示),以在腔室主體130內進行處理。基板可為任何標準晶圓尺寸,諸如(例如)300mm。替代地,基板可大於300mm,諸如450mm或更大。取決於操作溫度,基板支撐構件152可包含氮化鋁(AlN)或
鋁。基板支撐構件152可配置為將基板夾持到基板支撐構件152。例如,基板支撐構件152可為靜電夾盤或真空夾盤。
基板支撐構件152可通過軸156耦接到舉升機構154,軸156延伸穿過形成在腔室主體130的底表面中的中心定位的開口158。舉升機構154可藉由波紋管160而撓性地密封到腔室主體130,波紋管160防止真空從軸156周圍洩漏。舉升機構154允許基板支撐構件152在腔室主體130內在處理位置和下部傳送位置之間垂直移動。傳送位置略低於狹縫閥135的開口。在操作期間,在基板301和噴頭118之間的間隔可最小化,以便使基板表面處的自由基通量最大化。例如,間隔可在約100mm和約5,000mm之間。舉升機構154能夠旋轉軸156,軸156又旋轉基板支撐構件152,使得設置在基板支撐構件152上的基板在操作期間旋轉。
一個或多個加熱元件162和冷卻通道164可嵌入基板支撐構件152中。加熱元件162和冷卻通道164可用以在操作期間控制基板的溫度。加熱元件162可為任何合適的加熱元件,諸如一個或多個電阻加熱元件。加熱元件162可連接到一個或多個功率源(未顯示)。可單獨控制加熱元件162,以對多區域加熱或冷卻進行獨立的加熱及/或冷卻控制。由於能夠對多區域加熱和冷卻進行獨立控制,因此可在任何給定處理條件下提高基板溫度輪廓。冷卻劑可流過冷卻通道164以冷卻基板。基板支撐構
件152可進一步包括延伸到上表面的氣體通道,用於使冷卻氣體流到基板的背側。
RF源功率143可通過RF源功率匹配盒147耦合到噴頭118。RF源功率143可為低頻、高頻或非常高的頻率。在一個實施例中,RF源功率143是高頻RF產生器,其可產生高密度電漿以沉積高密度膜層。在一個示例中,RF源功率143可用作電感耦合的RF能量發送裝置,其可產生和控制在基板支撐構件152上方的內部處理區域151中產生的電感耦合電漿(ICP)。當產生電感耦合電漿(ICP)時,可提供來自RF源功率匹配盒147的動態阻抗匹配。
除了RF源功率143之外,RF偏壓功率源145可耦合到基板支撐構件152。基板支撐構件152被配置為陰極並且包括耦合到RF偏壓功率源145的電極163。RF偏壓功率源145耦合在設置在基板支撐構件152中的電極163與另一電極(諸如腔室主體130的噴頭118或室頂(頂板114))之間。從RF偏壓功率源145產生的RF偏壓功率激發和維持由設置在腔室主體130的內部處理區域151中的氣體形成的電漿放電。
在一種操作模式中,基板301設置在處理腔室100中的基板支撐構件152上。處理氣體及/或氣體混合物通過噴頭118從氣體源106引到腔室主體130中。真空泵146保持腔室主體130內側的壓力,同時移除沉積副產物。
控制器170耦合到處理腔室100以控制處理腔室100的操作。控制器170包括中央處理單元(CPU)172、記憶體174和支持電路176,用以控制處理順序並調節來自氣體源106的氣流。CPU 172可為可在工業環境中使用的任何形式的通用電腦處理器。軟體常式可儲存在記憶體174中,諸如隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動器,或其他形式的數位儲存器。支持電路176通常耦合到CPU 172,並且可包括快取、時脈電路、輸入/輸出系統、電源及類似者。在控制器170和處理腔室100的各種部件之間的雙向通信通過多條信號電纜處理。
第2圖是示例性處理系統200的示意性頂視平面圖,處理系統200包括第1圖中所示結合及整合在其中的一個或多個處理腔室100。在一個實施例中,處理系統200可為CENTURA®整合處理系統,可從位於加州聖克拉拉市的應用材料公司商購獲得。預期其他處理系統(包括來自其他製造商的處理系統)可適於從本揭露書中受益。
系統200包括真空密封處理平台204、工廠介面202和系統控制器244。處理平台204包括至少一個處理腔室100(諸如第1圖中所示的處理腔室100之一個)、複數個處理腔室201、228、220、210和耦接到真空基板傳送腔室236的至少一個負載鎖定腔室222。第2圖中
顯示了兩個負載鎖定腔室222。工廠介面202是藉由負載鎖定腔室222耦合到傳送腔室236。
在一個實施例中,工廠介面202包括至少一個對接站208和至少一個工廠介面機器人214,以促進基板的傳送。對接站208經配置以接受一個或多個前開式晶圓傳送盒(FOUP)。在第2圖的實施例中顯示了兩個FOUP 206A-B。具有設置在機器人214的一端上的葉片216的工廠介面機器人214經配置以將基板從工廠介面202通過負載鎖定腔室222而傳送到處理平台204以進行處理。任選地,一個或多個處理腔室100、201、210、220、228可連接到工廠介面202的終端226,以促進處理來自FOUP 206A-B的基板。
每個負載鎖定腔室222具有耦接到工廠介面202的第一埠和連接到傳送腔室236的第二埠。負載鎖定腔室222耦接到壓力控制系統(未顯示),壓力控制系統抽空及通風負載鎖定腔室222,以促進在傳送腔室236的真空環境和工廠介面202的基本周遭(如,大氣)環境之間傳送基板。
傳送腔室236具有設置在其中的真空機器人230。真空機器人230具有能夠在負載鎖定腔室222、沉積腔室100、處理腔室201和處理腔室201、210、220、228之間傳送基板301的葉片234。
在系統200的一個實施例中,系統200可包括第1圖中描繪的處理腔室100(諸如高密度電漿(HDP)
腔室)和其他處理腔室201、210、220、228,其他處理腔室201、210、220、228可為沉積腔室、蝕刻腔室、熱處理腔室或其他類似類型的半導體處理腔室,可幫助形成具有良好間隙填充能力的介電材料,以填充在半導體裝置中的溝槽中。
系統控制器244耦合到處理系統200。可包括計算裝置241或者包括在計算裝置241內的系統控制器244使用對系統200的處理腔室100、201、210、220、228的直接控制來控制處理系統200的操作。替代地,系統控制器244可控制與處理腔室100、201、210、220、228和系統200相關聯的電腦(或控制器)。在操作中,系統控制器244還使得能夠從各個腔室和處理腔室100進行數據收集和反饋,以優化系統200的效能。
與上述控制器170非常相似,系統控制器244通常包括中央處理單元(CPU)238、記憶體240和支持電路242。CPU 238可為可用於工業環境的任何形式的通用電腦處理器之一者。支持電路242通常耦合到CPU 238,並可包含快取、時脈電路、輸入/輸出子系統、電源及類似者。軟體常式將CPU 238轉換成專用電腦(控制器)244。軟體常式還可由遠離系統200的第二控制器(未顯示)儲存及/或執行。
第3圖是可在腔室100或其他合適的處理腔室中實施的沉積處理300的一個實施例的流程圖。第4A-4B圖是對應於沉積處理300的各個階段的複合基板
的一部分的示意性橫截面圖。處理300可用以形成用於半導體裝置(特別是用於半導體記憶體的三維(3D)堆疊)的結構的高深寬比特徵,如,大於5:1。替代地,可有利地利用處理300來蝕刻其他類型的結構。
藉由將基板(諸如基板301)傳送(亦即,提供)到沉積處理腔室(諸如第1圖中所示的沉積腔室100),處理300開始於操作302。基板301可具有基本平坦的表面、不平坦的表面或具有結構形成在其上的基本平坦的表面。在第4A圖所示的實施例中,基板301具有設置在介面層402上的圖案化材料層404。在一個實施例中,圖案化材料層404包括形成在其中的開口408。圖案化材料層404可用以在前端或後端處理中形成閘極結構、接觸結構、互連結構或根據需要的任何合適的結構。在一個實施例中,可在圖案化材料層404上執行處理300,以在其中形成接觸結構。基板301可為諸如晶體矽(如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓以及圖案化或非圖案化的晶圓矽絕緣體(SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石的材料。基板301可具有各種尺寸,諸如200mm、300mm、450mm或其他直徑的晶圓,以及矩形或方形面板。除非另有說明,否則於此所述的實施例和示例在具有200mm直徑、300mm直徑或450mm直徑基板的基板上進行。在SOI結構用於基板301的實施例中,基板301可包括設置在矽
晶體基板上的埋藏介電層。在於此描繪的實施例中,基板301可為晶體矽基板。
在一個實施例中,介面層402可為介電層。圖案化材料層404具有曝露介面層402的部分410的開口408,用於在其中沉積介電材料。於此描述的開口408可包括溝槽、通孔、開口及類似者。在一個實施例中,圖案化材料層404可為含金屬材料、含矽材料、含碳材料或其他合適材料。含金屬材料的合適示例包括含銅材料、含鋁材料、含鎳材料、含鎢材料或其他含金屬材料。合適的含矽材料包括矽、氧化矽、氮化矽、氮氧化矽及其組合。合適的含碳材料包括碳化矽、非晶碳或類似者。在於此描繪的示例性實施例中,圖案化材料層404是矽層。
根據需要,介面層402可為介電層,諸如介電氧化物層或介電氮化物層。介面層402可包括多個層、複合層或單個層。用於介電層的其他合適材料包括未摻雜的矽玻璃(USG)(諸如氧化矽或TEOS)、硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氮化矽、非晶矽及其組合。
在一個實施例中,圖案化材料層404可被圖案化到預定深度,以曝露介面層402的部分410,如第4A圖所示。可以任何合適的圖案化處理來蝕刻或圖案化材料層404。圖案化材料層404可藉由將氣體混合物供應到處理腔室中以及施加到處理腔室的預定RF功率位準而圖案化。
於操作304,將沉積氣體混合物供應到處理腔室(諸如第1圖中的處理腔室100),以執行沉積處理以在開口408中形成介電材料406(第4B圖中所示)。供應到處理腔室100的氣體混合物可基於待形成並填充在開口408中的不同類型的材料而變化。在一個示例中,當待形成的介電材料406期望是氮化矽層時,所供應的沉積氣體混合物包含至少一種含矽氣體、含氮氣體或任選的惰性氣體。在另一個示例中,當待形成的介電材料406期望是氧化矽層時,所供應的沉積氣體混合物包含至少一種含矽氣體、含氧氣體或任選的惰性氣體。在另一個示例中,當待形成的介電材料406期望是碳化矽層時,所供應的沉積氣體混合物包含至少一種含矽氣體、含碳氣體或任選的惰性氣體。在又一個示例中,當待形成的介電材料406期望是碳化物層時,所供應的沉積氣體混合物包含至少一種含碳氣體或任選的惰性氣體。
含矽氣體的合適示例包括SiH4、TEOS、Si2H6及類似者。含氮氣體的合適示例包括N2、NH3、N2O、NO2及類似者。含氧氣體的合適示例包括H2O2、H2O、O2、O3及類似者。含碳氣體的合適示例包括CO2、烴類氣體(諸如CH4和C2H6)等。惰性氣體的合適示例包括He、Ar及類似者。
在一些示例中,還可根據需要在沉積氣體混合物中供應載氣,諸如N2、H2及類似者。
在一個示例中,沉積氣體混合物包括含矽氣體、含氮或含碳氣體及Ar或H2氣體。在一個具體示例中,沉積氣體混合物包括SiH4、Ar或He、NH3、H2或N2或其組合,用於沉積氮化矽層作為介電材料406。在另一具體示例中,沉積氣體混合物包括SiH4、Ar或He、CH4或CO2、H2或N2,用於沉積碳化矽層作為介電材料406。在又一個具體示例中,沉積氣體混合物包括SiH4、Ar或He、O2或NO2,用於沉積氧化矽層作為介電材料406。
在一個實施例中,含矽氣體以約30sccm至約500sccm(體積)之間的流率控制。含氧、含碳或含氮氣體以在約50sccm至約2000sccm(體積)之間的流率控制。Ar氣體或He氣體以在約250sccm至約2000sccm(體積)之間的流率控制。
在一個實施例中,來自沉積氣體混合物的一些氣體可從氣體源106通過遠端電漿源104供應到內部處理區域151,而一些氣體可通過處理腔室100的一側(諸如橫向形成在噴頭118周圍的流體源119)供應而被輸送到內部處理區域151。在一些示例中,來自沉積氣體混合物(諸如含矽氣體)的第一氣體(如,反應性前驅物)從處理腔室100的側面(如,流體源119)供應,同時來自沉積氣體混合物的第二氣體(如,載氣、惰性氣體、含碳或含氮的氣體或其他氣體)從氣體源106通過遠端電漿源104供應到內部處理區域151。在一個示例中,第一氣體是從流體源119供應到處理腔室中的SiH4氣體,而第二
氣體是從氣體源106通過遠端電漿源104供應到內部處理區域151的Ar、He、NH3、H2、N2或其組合的至少一種。
於操作306,在將沉積氣體混合物供應到處理腔室之後,將遠端電漿源功率施加到遠端電漿源104以產生遠端電漿,遠端電漿待從遠端電漿源104輸送到內部處理區域151。與應用於噴頭的傳統腔室內RF源功率(其可提供高能量但是相對低密度的氣體自由基)相比,據信遠端離解的氣體及/或其他氣體可提供高密度和低能量的原子物種。因此,藉由利用具有某些活性氣體物種的遠端電漿源以及從側面(如,從流體源119)供應的氣體,具有相對低能量原子物種的高密度氣體物種接著被輸送到內部處理區域151,以在基板301上形成介電材料406,如第4B圖所示。據信來自遠端電漿源的原子氣體物種具有更高程度的反應性,其可與從側面(如,從流體源119)供應的氣體前驅物更有效、緩慢和徹底地反應,從而提供將介電材料406填充到圖案化材料層404中界定的開口408中的良好間隙填充能力。
應注意可改變和調節引入處理腔室中的每種氣體的量,以適應(例如)在開口408中待形成的介電材料406的厚度(如,開口408的高度或幾何形狀)。在一個或多個實施例中,從遠端電漿源104供應的氣體可具有一定的比率。例如,含氮氣體或含碳氣體與Ar氣體的比例可控制在約0.2:1至約2:1(體積)之間。
在一個示例中,向遠端電漿源104供應在約1000瓦特和約10000瓦特之間(諸如在約2000瓦特和約4000瓦特之間)的遠端RF源功率,以產生待輸送到內部處理區域151的遠端電漿。施加到遠端電漿源104的功率的頻率為在400kHz附近。頻率的範圍可從約50kHz到約2.45GHz。基板溫度保持在約攝氏-20度至約攝氏200度之間,諸如在約攝氏20度至約攝氏90度之間。
於操作308,在從遠端電漿源104向內部處理區域151供應遠端電漿的同時,可將脈衝RF偏壓功率施加到基板支撐構件152,以產生RF偏壓功率於設置在基板支撐構件152上的基板301。應注意所產生的施加於基板支撐構件152的RF偏壓功率用以在沉積處理期間為內部處理區域151中的電漿幫助提供方向性。在一個實施例中,可根據需要以60MHz及/或2MHz提供RF偏壓功率。在一個特定實施例中,RF偏壓功率以60MHz、2MHz或400KHz提供。
在一些示例中,RF偏壓功率可以脈衝模式供應給基板支撐構件152。據信以脈衝模式供應的RF偏壓功率可向設置在基板支撐組件上的基板301提供相對溫和的RF功率位準,使得反應性物種可以相對溫和的能量位準朝向基板301加速。供應給基板支撐構件152的RF偏壓功率可與從遠端電漿源104供應的遠端電漿功率同步地、同時地、分開地、替代地或順序地供應。
第5A-5C圖描繪了在沉積處理期間供應給基板支撐構件152的RF偏壓功率的不同示例。第5A-5C圖描繪了作為時間的函數而繪製的供應給處理腔室100的功率強度。第5A-5C圖中描繪的跡線502、510、520顯示了從遠端電漿源104供應的功率強度,而跡線504、512、522顯示了從RF偏壓功率源145供應給基板支撐構件152的功率強度。
在第5A圖中描繪的曲線圖550中,在從第一時間點506到第二時間點508的預定時間段,遠端電漿從遠端電漿源104供應給基板301,同時RF偏壓功率供應給基板支撐構件152。當來自遠端電漿源104的遠端電漿以連續模式供應時,供應給基板支撐構件152的RF偏壓功率處於脈衝模式。當所期望厚度的介電材料406填充在材料層404中的開口408中時,來自遠端電漿源104的遠端電漿和供應給基板支撐構件152的RF偏壓功率可在第二時間點508同時終止(亦即,關閉)。在這個示例中,在材料層404中形成的介電材料406可為氮化矽材料。
在第5B圖中描繪的曲線圖552中,遠端電漿從遠端電漿源104從第一時間點514供應到第二時間點516。隨後,將施加到遠端電漿源104的功率在第二時間點516終止之後(如,從遠端電漿源104供應的遠端電漿被關閉),接著從第二時間點516到第三時間點518以脈衝模式將RF偏壓功率供應給基板支撐構件152。當所期望厚度的介電材料406填充在材料層404中的開口408
中時,可終止(亦即,關閉)以脈衝模式供應給基板支撐構件152的RF偏壓功率。在這個示例中,形成在材料層404中的介電材料406可為氮化矽材料。
在第5C圖中描繪的曲線圖554中,遠端電漿從遠端電漿源104從第一時間點524供應到第二時間點525。當來自遠端電漿源104的遠端電漿仍然連續供應時,接著從第二時間點525到第三時間點526以脈衝模式將RF偏壓功率供應給基板支撐構件152。因此,來自遠端電漿源104的遠端電漿部分同步地將RF偏壓功率供應給基板支撐構件152。當所期望厚度的介電材料406填充在材料層404中的開口408中時,來自遠端電漿源104的遠端電漿和以脈衝模式供應給基板支撐構件152的RF偏壓功率可在第三時間點526同時終止(即,關閉)。
應注意從遠端電漿源104供應遠端電漿和向基板支撐構件152供應RF偏壓功率可為所需的任何佈置、任何次序和任何順序。
據信供應給基板支撐構件152的RF偏壓功率可幫助加速電漿中的電子、離子和其他物種朝向開口408的深處(如,介面層402的曝露部分410)加速。當將介電材料406填充到開口408中時,由RF偏壓功率產生的加速度可幫助增強間隙填充能力。此外,藉由控制來自RF偏壓的功率脈衝時間段和脈衝功率強度,可消除能量過高的或侵蝕的反應物種,以便根據需要控制沉積輪廓、反應物種軌跡和沉積方向性。
在一個示例中,可根據需要以60MHz及/或2MHz提供RF偏壓功率。在一個特定實施例中,RF偏壓功率以約162MHz、60MHz或2MHz提供。在一個示例中,具有2MHz頻率的RF偏壓功率以在約1000瓦特和約4000瓦特之間供應給基板支撐構件152,諸如在約1500瓦特和約3000瓦特之間。可在約210微秒至約100毫秒之間的持續時間利用RF偏壓功率。基板溫度保持在約攝氏-20度至約攝氏200度之間,諸如在約攝氏20度至約攝氏90度之間。
應注意操作306和操作308可重複(亦即,循環地)執行,如第3圖中的迴路309所指示,直到圖案化材料層404中的開口408填充有介電材料406,如第4B圖所示。重複的處程可循環地和遞增地沉積具有良好間隙填充能力的介電材料406。當用介電材料406填充開口408時,過度侵蝕的反應物種可能以相對較高的沉積速率反應,最終導致開口408的早期閉合,在開口408中形成不期望的孔隙或氣隙。增量沉積改善了間隙填充能力並增強了在材料層404中的開口408中形成的介電材料406的沉積輪廓。
於操作310,在開口408中填充所期望厚度的介電材料406之後,在基板301上接著形成介電材料406。因此,接著處理300完成且基板301可從處理腔室移除。
藉由利用具有良好間隙填充能力的沉積處理,可消除額外的加工(treatment)處理或固化處理,從而改善製造週期時間和成本。
因此,藉由在沉積處理期間適當管理遠端電漿功率和RF偏壓功率,提供了用於在具有高深寬比和小尺寸的開口中沉積介電材料的方法。藉由在沉積處理期間替代、同步或部分同步地供應具有不同供應模式(如,連續模式或脈衝模式)的遠端電漿功率和RF偏壓功率,獲得具有良好間隙填充能力的沉積處理。藉由這樣做,獲得了改進的沉積處理,以填充具有高深寬比和小尺寸的開口中的介電材料,特別是用於半導體晶片的三維(3D)堆疊中的應用。
雖然前述內容涉及本發明的實施例,但是可在不背離本發明的基本範圍的情況下設計本發明的其他和進一步的實施例,且本發明的範圍由以下的申請專利範圍決定。
520:跡線
522:跡線
524:第一時間點
525:第二時間點
526:第三時間點
554:曲線圖
Claims (16)
- 一種沉積一介電材料的方法,包含以下步驟:將一氣體混合物提供到一處理腔室中,該處理腔室中設置有一基板;在一遠端電漿源中形成一遠端電漿並將該遠端電漿輸送到該處理腔室中界定的一內部處理區域;以一脈衝模式將一RF偏壓功率施加到該處理腔室,其中該RF偏壓功率以在約210微秒至約100毫秒之間的一持續時間以該脈衝模式施加;及在施加該RF偏壓功率的同時,在存在該氣體混合物和該遠端電漿的情況下,在設置在該基板上的一材料層中界定的一開口中沉積一介電材料。
- 如請求項1所述之方法,進一步包含以下步驟:在施加該RF偏壓功率的同時形成該遠端電漿。
- 如請求項1所述之方法,其中該氣體混合物包含通過該處理腔室的一側供應到該內部處理區域的一第一氣體。
- 如請求項3所述之方法,其中該第一氣體包括一含矽氣體。
- 如請求項1所述之方法,其中該氣體混合物包含通過該遠端電漿源供應到該內部處理區域的一第 二氣體。
- 如請求項5所述之方法,其中在來自該氣體混合物的該第二氣體存在的情況下,在該遠端電漿源中形成該遠端電漿。
- 如請求項6所述之方法,其中該第二氣體選自由含氮氣體、惰性氣體、含碳氣體和含氧氣體所組成的群組。
- 如請求項7所述之方法,其中該第二氣體包含Ar、He、NH3、H2、N2及其組合的至少一種。
- 如請求項1所述之方法,其中在該基板上形成的該介電材料是一氮化矽層。
- 如請求項2所述之方法,其中在該基板上形成的該介電材料是一碳化矽層。
- 如請求項1所述之方法,進一步包含以下步驟:保持一基板溫度在約攝氏-20度至約攝氏200度之間。
- 如請求項1所述之方法,其中該RF偏壓功率具有約2MHz的一RF頻率。
- 一種用於形成一介電材料的方法,包含以下步驟:從一遠端電漿源形成一遠端電漿並將該遠端電漿輸 送到一處理腔室的一內部處理區域;以一脈衝模式將一RF偏壓功率施加到設置在該處理腔室中的一基板支撐構件,其中該RF偏壓功率以在約210微秒至約100毫秒之間的一持續時間以該脈衝模式施加;保持一基板溫度在約攝氏-20度至約攝氏200度之間;及在施加該RF偏壓功率的同時,在設置在一基板上的一材料層中界定的一開口中沉積一介電材料。
- 如請求項13所述之方法,其中該開口具有大於5的一深寬比。
- 如請求項13所述之方法,其中在該遠端電漿形成並輸送到該處理腔室的內部處理區域的同時或之後,該RF偏壓功率施加到該基板支撐構件。
- 一種用於形成一介電材料的方法,包含以下步驟:在設置在一基板上的一材料層中的具有一深寬比大於5的一開口內沉積從一遠端電漿形成的一介電材料,其中在沉積該介電材料的同時,一脈衝模式的一RF偏壓功率被供應給其中設置有該基板的一處理腔室的一內部處理區域。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/132,837 | 2018-09-17 | ||
US16/132,837 US11114306B2 (en) | 2018-09-17 | 2018-09-17 | Methods for depositing dielectric material |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202025283A TW202025283A (zh) | 2020-07-01 |
TWI837174B true TWI837174B (zh) | 2024-04-01 |
Family
ID=69772255
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108132388A TWI837174B (zh) | 2018-09-17 | 2019-09-09 | 沉積介電材料之方法 |
TW113106976A TW202429569A (zh) | 2018-09-17 | 2019-09-09 | 沉積介電材料之方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW113106976A TW202429569A (zh) | 2018-09-17 | 2019-09-09 | 沉積介電材料之方法 |
Country Status (6)
Country | Link |
---|---|
US (2) | US11114306B2 (zh) |
JP (1) | JP7176106B2 (zh) |
KR (2) | KR102514465B1 (zh) |
CN (1) | CN112673457B (zh) |
TW (2) | TWI837174B (zh) |
WO (1) | WO2020060712A1 (zh) |
Families Citing this family (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11114306B2 (en) * | 2018-09-17 | 2021-09-07 | Applied Materials, Inc. | Methods for depositing dielectric material |
KR20200080460A (ko) * | 2018-12-26 | 2020-07-07 | 삼성전자주식회사 | 반도체 소자 제조 방법 및 반도체 공정 설비 |
WO2021087132A1 (en) * | 2019-10-29 | 2021-05-06 | Lam Research Corporation | Methods to enable seamless high quality gapfill |
JP7305700B2 (ja) * | 2021-04-19 | 2023-07-10 | 株式会社Kokusai Electric | 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム |
US20220364230A1 (en) * | 2021-05-12 | 2022-11-17 | Applied Materials, Inc. | Pulsing plasma treatment for film densification |
KR20240044516A (ko) * | 2021-11-26 | 2024-04-04 | 가부시키가이샤 코쿠사이 엘렉트릭 | 기판 처리 장치, 반도체 장치의 제조 방법 및 기판 처리 방법 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201636453A (zh) * | 2015-03-17 | 2016-10-16 | 應用材料股份有限公司 | 用於膜沉積的脈衝化電漿 |
TW201705428A (zh) * | 2015-06-03 | 2017-02-01 | 應用材料股份有限公司 | 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法 |
Family Cites Families (49)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6165311A (en) * | 1991-06-27 | 2000-12-26 | Applied Materials, Inc. | Inductively coupled RF plasma reactor having an overhead solenoidal antenna |
US7004107B1 (en) * | 1997-12-01 | 2006-02-28 | Applied Materials Inc. | Method and apparatus for monitoring and adjusting chamber impedance |
US6136388A (en) * | 1997-12-01 | 2000-10-24 | Applied Materials, Inc. | Substrate processing chamber with tunable impedance |
US6413583B1 (en) * | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6627532B1 (en) * | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6335292B1 (en) | 1999-04-15 | 2002-01-01 | Micron Technology, Inc. | Method of controlling striations and CD loss in contact oxide etch |
US6130169A (en) | 1999-05-21 | 2000-10-10 | Advanced Micro Devices, Inc. | Efficient in-situ resist strip process for heavy polymer metal etch |
US6235643B1 (en) | 1999-08-10 | 2001-05-22 | Applied Materials, Inc. | Method for etching a trench having rounded top and bottom corners in a silicon substrate |
SG90747A1 (en) * | 1999-09-02 | 2002-08-20 | Applied Materials Inc | Method of pre-cleaning dielectric layers of substrates |
US6500357B1 (en) * | 1999-12-28 | 2002-12-31 | Applied Materials Inc. | System level in-situ integrated dielectric etch process particularly useful for copper dual damascene |
US6875700B2 (en) | 2000-08-29 | 2005-04-05 | Board Of Regents, The University Of Texas System | Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges |
US20030057526A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US6960416B2 (en) | 2002-03-01 | 2005-11-01 | Applied Materials, Inc. | Method and apparatus for controlling etch processes during fabrication of semiconductor devices |
US7097886B2 (en) * | 2002-12-13 | 2006-08-29 | Applied Materials, Inc. | Deposition process for high aspect ratio trenches |
WO2004064147A2 (en) * | 2003-01-07 | 2004-07-29 | Applied Materials, Inc. | Integration of ald/cvd barriers with porous low k materials |
KR100529298B1 (ko) * | 2003-03-20 | 2005-11-17 | 학교법인 한양학원 | Dc 바이어스를 이용한 리모트 플라즈마 원자층 증착 장치 |
US8257546B2 (en) | 2003-04-11 | 2012-09-04 | Applied Materials, Inc. | Method and system for monitoring an etch process |
US6911399B2 (en) | 2003-09-19 | 2005-06-28 | Applied Materials, Inc. | Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition |
US7571698B2 (en) | 2005-01-10 | 2009-08-11 | Applied Materials, Inc. | Low-frequency bias power in HDP-CVD processes |
US7491647B2 (en) | 2005-03-08 | 2009-02-17 | Lam Research Corporation | Etch with striation control |
US7465680B2 (en) * | 2005-09-07 | 2008-12-16 | Applied Materials, Inc. | Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2 |
US7276447B1 (en) | 2006-04-11 | 2007-10-02 | Applied Materials, Inc. | Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material |
US8021514B2 (en) | 2007-07-11 | 2011-09-20 | Applied Materials, Inc. | Remote plasma source for pre-treatment of substrates prior to deposition |
US8008166B2 (en) * | 2007-07-26 | 2011-08-30 | Applied Materials, Inc. | Method and apparatus for cleaning a substrate surface |
US7745352B2 (en) * | 2007-08-27 | 2010-06-29 | Applied Materials, Inc. | Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process |
US7704897B2 (en) * | 2008-02-22 | 2010-04-27 | Applied Materials, Inc. | HDP-CVD SiON films for gap-fill |
US8173547B2 (en) | 2008-10-23 | 2012-05-08 | Lam Research Corporation | Silicon etch with passivation using plasma enhanced oxidation |
US8404598B2 (en) | 2009-08-07 | 2013-03-26 | Applied Materials, Inc. | Synchronized radio frequency pulsing for plasma etching |
WO2011097178A2 (en) * | 2010-02-02 | 2011-08-11 | Applied Materials, Inc. | Methods for nitridation and oxidation |
JP5558224B2 (ja) | 2010-06-23 | 2014-07-23 | 東京エレクトロン株式会社 | 基板処理方法 |
US8692467B2 (en) | 2011-07-06 | 2014-04-08 | Lam Research Corporation | Synchronized and shortened master-slave RF pulsing in a plasma processing chamber |
KR20140049075A (ko) * | 2011-09-30 | 2014-04-24 | 인텔 코오퍼레이션 | 트랜지스터 게이트용 캡핑 유전체 구조 |
US9666414B2 (en) * | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
JP5822795B2 (ja) | 2012-07-17 | 2015-11-24 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US20140186544A1 (en) * | 2013-01-02 | 2014-07-03 | Applied Materials, Inc. | Metal processing using high density plasma |
US9502218B2 (en) | 2014-01-31 | 2016-11-22 | Applied Materials, Inc. | RPS assisted RF plasma source for semiconductor processing |
US9508561B2 (en) * | 2014-03-11 | 2016-11-29 | Applied Materials, Inc. | Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications |
US9406522B2 (en) * | 2014-07-24 | 2016-08-02 | Applied Materials, Inc. | Single platform, multiple cycle spacer deposition and etch |
US9595467B2 (en) * | 2014-11-14 | 2017-03-14 | Applied Materials, Inc. | Air gap formation in interconnection structure by implantation process |
US10032604B2 (en) * | 2015-09-25 | 2018-07-24 | Applied Materials, Inc. | Remote plasma and electron beam generation system for a plasma reactor |
US20170092492A1 (en) * | 2015-09-28 | 2017-03-30 | Applied Materials, Inc. | Methods for forming a silicon containing dielectric film using a gas mixture with ar gas dilusion |
KR20170097270A (ko) * | 2016-02-17 | 2017-08-28 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
EP3420117B1 (en) * | 2016-02-26 | 2024-04-24 | Versum Materials US, LLC | Compositions and methods using same for deposition of silicon-containing film |
US10358715B2 (en) | 2016-06-03 | 2019-07-23 | Applied Materials, Inc. | Integrated cluster tool for selective area deposition |
US10249495B2 (en) * | 2016-06-28 | 2019-04-02 | Applied Materials, Inc. | Diamond like carbon layer formed by an electron beam plasma process |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US20190376178A1 (en) * | 2018-06-11 | 2019-12-12 | Versum Materials Us, Llc | Compositions and Methods Using Same for Deposition of Silicon-Containing Film |
US11114306B2 (en) * | 2018-09-17 | 2021-09-07 | Applied Materials, Inc. | Methods for depositing dielectric material |
US11107674B2 (en) * | 2019-01-24 | 2021-08-31 | Applied Materials, Inc. | Methods for depositing silicon nitride |
-
2018
- 2018-09-17 US US16/132,837 patent/US11114306B2/en active Active
-
2019
- 2019-08-20 CN CN201980059660.8A patent/CN112673457B/zh active Active
- 2019-08-20 KR KR1020217010976A patent/KR102514465B1/ko active IP Right Grant
- 2019-08-20 WO PCT/US2019/047343 patent/WO2020060712A1/en active Application Filing
- 2019-08-20 JP JP2021514014A patent/JP7176106B2/ja active Active
- 2019-08-20 KR KR1020237009715A patent/KR20230044033A/ko not_active Application Discontinuation
- 2019-09-09 TW TW108132388A patent/TWI837174B/zh active
- 2019-09-09 TW TW113106976A patent/TW202429569A/zh unknown
-
2021
- 2021-08-23 US US17/408,943 patent/US11631591B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201636453A (zh) * | 2015-03-17 | 2016-10-16 | 應用材料股份有限公司 | 用於膜沉積的脈衝化電漿 |
TW201705428A (zh) * | 2015-06-03 | 2017-02-01 | 應用材料股份有限公司 | 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20230044033A (ko) | 2023-03-31 |
US11631591B2 (en) | 2023-04-18 |
WO2020060712A1 (en) | 2020-03-26 |
JP7176106B2 (ja) | 2022-11-21 |
JP2022500867A (ja) | 2022-01-04 |
TW202429569A (zh) | 2024-07-16 |
KR20210043746A (ko) | 2021-04-21 |
TW202025283A (zh) | 2020-07-01 |
CN112673457B (zh) | 2024-10-18 |
US20200090946A1 (en) | 2020-03-19 |
CN112673457A (zh) | 2021-04-16 |
US11114306B2 (en) | 2021-09-07 |
US20210384040A1 (en) | 2021-12-09 |
KR102514465B1 (ko) | 2023-03-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI837174B (zh) | 沉積介電材料之方法 | |
JP6810059B2 (ja) | 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法 | |
KR102283949B1 (ko) | 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들 | |
TWI688997B (zh) | 閘極電極材料殘留物移除製程 | |
JP7374308B2 (ja) | 誘電体材料を堆積する方法及び装置 | |
US10128337B2 (en) | Methods for forming fin structures with desired profile for 3D structure semiconductor applications | |
US20150064921A1 (en) | Low temperature plasma anneal process for sublimative etch processes | |
JP7331236B2 (ja) | 誘電体材料を硬化させる方法及び装置 | |
TWI855162B (zh) | 沉積介電材料的方法與設備 |