TW201636453A - 用於膜沉積的脈衝化電漿 - Google Patents

用於膜沉積的脈衝化電漿 Download PDF

Info

Publication number
TW201636453A
TW201636453A TW105108164A TW105108164A TW201636453A TW 201636453 A TW201636453 A TW 201636453A TW 105108164 A TW105108164 A TW 105108164A TW 105108164 A TW105108164 A TW 105108164A TW 201636453 A TW201636453 A TW 201636453A
Authority
TW
Taiwan
Prior art keywords
plasma source
substrate
interval
turned
pulsed
Prior art date
Application number
TW105108164A
Other languages
English (en)
Other versions
TWI701357B (zh
Inventor
薛君
葛迪魯多維
奈馬尼史林尼法斯
史托威爾麥克W
梁奇偉
布希博格二世道格拉斯A
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201636453A publication Critical patent/TW201636453A/zh
Application granted granted Critical
Publication of TWI701357B publication Critical patent/TWI701357B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本案中提供處理基板之方法。在一些實施例中,處理安置在處理腔室中之基板的方法包括:(a)藉由將基板曝露於第一反應性物種而在基板上沉積材料層,該第一反應性物種利用遠端電漿源產生;及(b)藉由將基板曝露於電漿而處理全部或大體上全部之沉積材料層,該電漿在處理腔室內利用第二電漿源而產生;其中遠端電漿源或第二電漿源中之至少一者經脈衝化以控制沉積周期及處理周期。

Description

用於膜沉積的脈衝化電漿
本揭示案之實施例總體上係關於沉積製程,如化學氣相沉積(chemical vapor deposition;CVD),及更特定而言係關於材料在基板上之沉積改良。
積體電路(Integrated circuit;IC)已演化為複雜電路系統,該電路系統可在一晶片上包括數百萬個組件。晶片設計之演化持續需要更快的電路系統及更大的電路密度。對更大電路密度之需求又需要减小積體電路組件之大小,該等减小包括减小包括積體電路組件之特徵之尺寸。
積體電路組件之减小的特徵尺寸已在該等組件之製造方面表現出新問題。更小特徵往往具有更大深寬比,該等深寬比更難以在後續處理期間利用沉積材料進行充填。此外,特徵尺寸减小亦限制積體電路可經受高溫(例如高於450℃)製程之總時長,該總時長被稱為熱預算。减小的熱預算限制允許用於沉積材料回焊以充填更大深寬比特徵之時間。
因此,已開發用以沉積具有更大流動能力之薄膜之製程。然而,該等沉積薄膜往往使用沉積後處理以改良沉積薄膜之品質。本發明人已注意到,額外之沉 積後處理往往在高溫下執行,且不良地消耗部分熱預算,從而减少積體電路製造的其他步驟中可用的剩餘熱預算。本發明人進一步注意到,沉積後處理往往包括更高能之製程,該等製程可能損害裝置特徵之結構。
因此,本發明人已提供改良方法,該等方法用於以改良薄膜品質在基板上沉積材料。
本案中提供處理基板之方法。在一些實施例中,處理安置於處理腔室中之基板的方法包括:(a)藉由將基板曝露於第一反應性物種而在基板上沉積材料層,該第一反應性物種利用遠端電漿源而產生;及(b)藉由將基板曝露於電漿而處理全部或大體上全部之沉積材料層,該電漿在處理腔室內利用第二電漿源而產生;其中遠端電漿源或第二電漿源中之至少一者經脈衝化以控制沉積周期及處理周期。
在一些實施例中,在基板上沉積安置於基板支撑台座頂部上之材料的方法包括:(a)藉由將基板曝露於第一反應性物種而在基板上沉積材料層,該第一反應性物種利用遠端電漿源而產生;(b)藉由將基板曝露於電漿而處理全部或大體上全部之沉積材料層,該電漿在處理腔室內利用第二電漿源而產生;其中遠端電漿源經脈衝化達第一間隔之久,且第二電漿源在第一間隔之同時經脈衝化達第二間隔之久;及(c)重複(a)及(b)直至在 基板上沉積及處理預定厚度之材料,其中在(a)至(c)期間基板支撑台座之溫度控制在自約-150℃至約500℃。
在一些實施例中,非暫時性電腦可讀取媒體中儲存有指令,該等指令在執行時使得執行用於處理安置於處理腔室中基板支撑台座頂部上的基板之方法。該方法可包括本案中揭示的實施例中之任何實施例。
本揭示案之其他及更多實施例在下文描述。
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
202‧‧‧線
204‧‧‧線
206‧‧‧第一間隔
208‧‧‧第二間隔
210‧‧‧預定時間
301‧‧‧處理腔室
310‧‧‧遠端電漿系統
311‧‧‧進氣口組合件
312‧‧‧第一通道
313‧‧‧第二通道
320‧‧‧腔室電漿區域
321‧‧‧蓋件
324‧‧‧絕緣環
326‧‧‧長度
350‧‧‧最小直徑
351‧‧‧中空體積
353‧‧‧噴淋頭
355‧‧‧小孔
356‧‧‧通孔
370‧‧‧基板處理區域
375‧‧‧台座
380‧‧‧基板
400‧‧‧基板
402‧‧‧特徵
404‧‧‧第一表面
406‧‧‧第二表面
408‧‧‧底表面
410‧‧‧側壁
412‧‧‧第一介電層
414‧‧‧第二介電層
416‧‧‧沉積材料層
420‧‧‧導電材料
426‧‧‧上表面
428‧‧‧第二基板
430‧‧‧第一反應性物種
432‧‧‧電漿
500‧‧‧處理腔室
502‧‧‧基板
504‧‧‧基板支撑件
506‧‧‧遠端電漿源
508‧‧‧電漿
509‧‧‧反應性物種
510‧‧‧第一微波電源
511‧‧‧第二微波電源
512‧‧‧電漿
610‧‧‧微波電源
604‧‧‧基板支撑件
612‧‧‧反應性物種
本揭示案之實施例在上文簡短概述並在下文中更詳細地論述,該等實施例可藉由參看附圖中繪示之本揭示案的說明性實施例而理解。然而,附圖僅圖示本揭示案之典型實施例,因此將不被視作限制本揭示案之範疇,因為本揭示案可承認其他同等有效的實施例。
第1圖是依據本揭示案之一些實施例用於處理基板之方法的流程圖。
第2A-2I圖繪示依據本揭示案之一些實施例用於處理基板之方法的功率對比時間圖表。
第3圖是依據本揭示案之一些實施例適合於執行在基板上沉積材料之方法的處理腔室實例之示意性橫剖面視圖。
第4A-4C圖繪示依據本揭示案之一些實施例在基板中形成之互連結構之橫剖面側視圖。
第5圖繪示依據本揭示案之一些實施例適合於執行在基板上沉積材料之方法的設備實例之示意性橫剖面視圖。
為便於理解,在可能情況下已使用相同元件符號以指定圖式中共有之相同元件。該等圖式並非按比例繪製且可經簡化以便明晰。一個實施例之元件及特徵可在無需進一步複述之情况下以有利方式併入其他實施例。
本揭示案之實施例有利地提供在基板上沉積材料之改良方法。本揭示案之實施例亦有利地提供以改良薄膜品質在基板上沉積材料之改良方法。本揭示案之實施例進一步有利地提供在較低溫度下在基板上沉積材料之方法。本揭示案之實施例亦有利地提供用於在基板上以較低溫度沉積材料而無需高溫沉積後處理之方法。本揭示案之實施例亦有利地提供用於以改良薄膜品質在基板上沉積材料而無需高溫或高能沉積後處理之方法。如下文中更詳細地描述,材料可沉積在處理腔室中且亦在處理腔室中藉由使用脈衝化電漿而處理。
第1圖圖示依據本揭示案之一些實施例用於處理基板之方法的流程圖。方法100可在任何適合處理腔室中執行,該等處理腔室經配置以進行化學氣相沉積(chemical vapor deposition;CVD)或電漿增强原子層沉積(plasma enhanced atomic layer deposition;PEALD)中之一或更多者。可用以執行本案中揭示的發明方法之示例性處理系統可包括但並非限定於ENDURA®、CENTURA®,或PRODUCER®系列處理系統之彼等者及ETERNA®處理腔室,上述各者皆可購自美國加利福尼亞州聖克拉拉市的美國應用材料公司。包括來自其他製造商之彼等處理腔室的其他處理腔室亦可適合地結合本案中提供之教示內容而使用。該方法可藉由使用例如下述第3圖或第5圖中圖示之處理腔室而執行。
方法100可在基板400上執行,如第4A圖中繪示,該基板400具有特徵402,該特徵402形成於基板400之第一表面404中且伸入基板400內前往基板400的相對第二表面406。基板400可為能够在基板400上沉積材料之任何基板,如矽基板、第III-V族化合物基板、矽鍺(SiGe)基板、磊晶基板、絕緣體上矽(silicon on insulator;SOI)基板、諸如液晶顯示器(liquid crystal display;LCD)、電漿顯示器、電致發光(electro luminescence;EL)燈顯示器之顯示器基板、太陽能電池陣列、太陽能電池板、發光二極體(light emitting diode;LED)基板、半導體晶圓,或類似物。
基板400可為具有特徵402之任何適合基板,該特徵402形成於基板中。例如,基板400可包括介電材料、矽(Si)、金屬或類似物中之一或更多者。此 外,基板400可包括額外材料層或可具有一或更多個完成或部分完成之結構,該等結構形成於基板400之上或之中。例如,基板400可包括第一介電層412,如氧化矽、低介電常數材料(例如具有低於氧化矽或低於約3.9之介電常數),或類似物。特徵402可形成於第一介電層412中。在一些實施例中,第一介電層412可安置在第二介電層414上方,該第二介電層414如氧化矽、氮化矽、碳化矽或類似物。導電材料(例如導電材料420)可安置在第二介電層414上且可與特徵402對準以便特徵402在被充填導電材料時提供前往及來自於導電材料之電路徑。例如,導電材料可為互連裝置所耦接的線路或通孔之一部分。
特徵402可為任何開口,如通孔、溝槽、雙鑲嵌結構或類似物。在一些實施例中,特徵402可具有較高深寬比,例如約5:1或更大之深寬比。如本案中所使用,深寬比是特徵深度與特徵寬度之比率。特徵402可藉由使用任何適合之蝕刻製程來蝕刻基板400而形成。特徵402包括底表面408及側壁410。
在一些實施例中,且如第4A-4C圖中虛線所圖示,特徵402可完全延伸穿過基板400及第二基板428之上表面426,且可形成特徵402之底表面408。第二基板428可安置在基板400之第二表面406鄰近處。進一步地(且亦由虛線圖示),導電材料(例如導電材料420)可安置在第二基板428之上表面426中且與特 徵402對準,該導電材料例如作為裝置(諸如邏輯裝置或類似物)之部分,或作為通向需要電連接性之裝置(如閘極、接觸墊、導線或通孔或類似物)的電路徑。
方法100在步驟102中藉由以下操作開始:將基板400曝露於利用遠端電漿源產生的第一反應性物種430而在基板400上沉積材料層416,如第4B圖中所繪示。在一些實施例中,沉積材料層416可為適合之半導體處理材料,如金屬氧化物材料或含矽材料,如碳化矽(SiC)、氮化矽(SiN)、氧化矽(SiO)、氮氧化矽(SiON)、碳氧化矽(SiOC)。在一些實施例中,材料層416可藉由使用化學氣相沉積(chemical vapor deposition;CVD)、原子層沉積或分子層沉積(molecular layer deposition;MLD)在處理腔室中沉積。
接著,在步驟104中,藉由將基板400曝露於電漿432而處理全部或大體上全部沉積材料層416,該電漿432在處理腔室內利用第二電漿源而產生。如本案中所使用,全部或大體上全部沉積材料層416之處理係指示處理沉積材料層416的全部或大體上全部曝露表面區域,及沉積材料層416之全部或大體上全部厚度。電漿432中之高能離子藉由斷裂或削弱沉積材料層416內的鍵結而處理沉積材料層416。
在一些實施例中,電漿432藉由使用製程氣體而形成。在一些實施例中,製程氣體是惰性氣體,如 氦(He)、氬(Ar)、氖(Ne)、氪(Kr)、氮(N2)、氨(NH3),或該等氣體之任何組合。在一些實施例中,處理氣體之選擇依據沉積材料層416而定。在一些實施例中,處理氣體之選擇依據提供具有預定膜特性之沉積材料層416而定。本發明者注意到,不同膜組分可藉由改變電漿中之處理物種而實現。例如,在一些實施例中,沉積材料層可為氮化矽,且在沉積材料層416之處理期間提供的製程氣體可為氮(N)。在一些實施例中,沉積材料層416可為氧化矽,且在沉積材料層416之處理期間提供的製程氣體可為氬(Ar)。
在一些實施例中,重複步驟102-104中每一步驟直至在基板400上沉積並處理預定厚度之材料層416。在沉積及處理預定厚度之材料層416後,方法100大體結束。例如導電材料之充填材料424可沉積在特徵402內,例如經由化學氣相沉積(chemical vapor deposition;CVD)來沉積。特徵402可充填至基板400之上表面位準上方,且沉積材料層416及充填材料424可保留在基板400上表面上。因此,諸如酸溶液中之濕式清潔、化學或電化學機械拋光或類似物之技術可用以從上表面移除過量沉積材料,以使得特徵402被充填多達與基板上表面大約同等位準之處,如第4C圖中繪示。
在一些實施例中,材料層416沉積達一厚度,該厚度適合於在步驟104中對全部或大體上全部沉 積材料層416之處理。例如,在一些實施例中,材料層416每一沉積周期沉積達約5Å至約25Å之厚度。例如,在一些實施例中,在1至2秒時間間隔期間,可沉積20Å厚材料層,且可執行20Å厚材料層之處理達1-2秒時間間隔中約100毫秒之久。
諸如壓力、溫度及氣體流速之其他適合之製程條件可經調節以最佳化特定材料層416之沉積及沉積材料層416之處理。例如,在一些實施例中,處理腔室壓力可為自0.05至2托。在一些實施例中,處理腔室內的基板支撑台座溫度經控制在自約-150℃至約500℃。在一些實施例中,處理氣體流速可為約100sccm至約1000sccm。
在一些實施例中,將可在連續波(continuous wave;CW)或脈衝模式下操作的適合電漿電源耦接至遠端電漿源。在一些實施例中,將可在連續波(continuous wave;CW)或脈衝化模式下操作的適合電漿電源耦接至第二電漿源。在一些實施例中,電漿電源可為射頻電源、微波電源、脈衝化射頻電源或脈衝化微波電源。
在一些實施例中,耦接至遠端電漿源之電漿電源可在範圍自約50KHz至約13.56MHz之可調諧頻率下能够產生高達3000W功率。在脈衝化模式下,電漿電源可在高達約100KHz之脈衝頻率下經脈衝化,或在一些實施例中,在約1Hz至約100KHz之間的脈衝 頻率下經脈衝化。電漿電源可在約0.1%與約100%之間的工作循環(例如在給定周期內工作時間與空閑時間之總計期間的工作時間百分比)下操作。
在一些實施例中,耦接至第二電漿源之電漿電源可在大約13.56MHz之頻率下一般能够產生高達1500W。在脈衝化模式下,電漿電源可在高達約100KHz之脈衝頻率下經脈衝化,或在一些實施例中,在約1Hz至約100KHz之間的脈衝頻率下經脈衝化。電漿電源可在約0.1%與約100%之間的工作循環(例如在給定周期內工作時間與空閑時間之總計期間的工作時間百分比)下操作。
遠端電漿源或第二電漿源中之至少一者經脈衝化以控制沉積材料層416之週期及處理材料層416之週期。在一些實施例中,遠端電漿源及/或第二電漿源之脈衝化可藉由調整沉積材料層416之處理時長而控制。在一些實施例中,遠端電漿源及/或第二電漿源之脈衝化可藉由調整脈衝化電漿之脈衝頻率而控制。在一些實施例中,遠端電漿源及/或第二電漿源之脈衝化可藉由調整電漿脈衝化之工作循環而控制。在一些實施例中,遠端電漿源及/或第二電漿源之脈衝化可藉由調整用以脈衝化遠端電漿源及/或第二電漿源之功率而控制。在一些實施例中,遠端電漿源及/或第二電漿源之脈衝化可藉由調整處理氣流而控制。
第2A-2I圖繪示一圖表,該圖在水平軸上圖示經過的時間,且在垂直軸上圖示應用功率。第2A-2I圖繪示本揭示案之實施例,在該等實施例中,遠端電漿源或第二電漿源中之至少一者經脈衝化以控制沉積材料層416之週期及處理材料層416之週期。線202表示遠端電漿源(亦即材料層416在基板400上之沉積)且線204表示第二電漿源(亦即對沉積在基板400上之材料層416之處理)。
在一些實施例中,如第2A-2C圖中所繪示,遠端電漿源(線202)或第二電漿源(線204)中之一者在連續波(continuous wave;CW)模式下操作,而另一者經脈衝化。在一些實施例中,如第2a圖中繪示,遠端電漿源(線202)在連續波(continuous wave;CW)模式下操作達第一間隔206之久以沉積材料層416,且第二電漿源(線204)在第一間隔206期間經脈衝化以在沉積額外材料層416之同時處理沉積材料層416。第一間隔206是適合於沉積預定量之材料層416並處理全部或大體上全部材料層416之時間量。
在一些實施例中,如第2B圖中繪示,遠端電漿源(線202)在連續波(continuous wave;CW)模式下操作達第一間隔206之久以沉積材料層416,且在第一間隔206之後關閉達第二間隔208之久。第二電漿源(線204)在第二間隔208期間經脈衝化以在沉積額外材料層416之同時處理沉積材料層416。第一間隔 206是適合於沉積預定量之材料層416的時間量。第二間隔208是適合於沉積額外預定量之材料層416並處理全部或大體上全部材料層416之時間量。
在一些實施例中,如第2C圖中繪示,遠端電漿源(線202)經脈衝化達第一間隔206之久以沉積材料層416。在第一間隔206期間,第二電漿源(線204)在連續波(continuous wave;CW)模式下操作以連續地處理沉積材料層416。第一間隔206是適合於沉積預定量之材料層416並處理全部或大體上全部材料層416之時間量。
在一些實施例中,如第2D-2I圖中繪示,遠端電漿源(線202)及第二電漿源(線204)皆經脈衝化。在一些實施例中,如第2D圖中繪示,遠端電漿源(線202)及第二電漿源(線204)經同相脈衝化,以使得遠端電漿源及第二電漿源在第一間隔206期間同時開啟且在第二間隔208期間同時關閉,以同時沉積並處理材料層416。第一間隔206是適合於沉積預定量之材料層416並處理全部或大體上全部材料層416之時間量。
在一些實施例中,如第2E圖中繪示,遠端電漿源(線202)及第二電漿源(線204)經異相脈衝化,以使得在遠端電漿源開啟時第二電漿源關閉,且在遠端電漿源關閉時第二電漿源開啟。第2E圖中繪示之實施例允許材料層416沉積,然後進行沉積材料層416之隨後處理,而不沉積額外材料層416。
在一些實施例中,如第2F圖中繪示,遠端電漿源(線202)及第二電漿源(線204)經異相脈衝化達第一間隔206之久,以使得在遠端電漿源開啟時第二電漿源關閉,且在遠端電漿源關閉時第二電漿源開啟。第2F圖中繪示之實施例允許交替進行材料層416沉積與材料層416處理,而不沉積額外材料層416。然後,遠端電漿源(線202)及第二電漿源(線204)經同相脈衝化達第二間隔之久,以使得在遠端電漿源開啟時第二電漿源開啟,且在遠端電漿源關閉時第二電漿源關閉。第2F圖中繪示實施例允許同時沉積材料層416及處理沉積材料層416。第一間隔206是適合於沉積預定量之材料層416及隨後處理全部或大體上全部材料層416之時間量。第二間隔208是適合於沉積預定量之材料層416及同時處理全部或大體上全部材料層416之時間量。
在一些實施例中,如第2G圖中繪示,遠端電漿源(線202)及第二電漿源(線204)經同相脈衝化達達第一間隔206之久,以使得在遠端電漿源開啟時第二電漿源開啟,及在遠端電漿源關閉時第二電漿源關閉。第2G圖中繪示實施例允許同時沉積材料層416及處理沉積材料層416。然後,遠端電漿源(線202)及第二電漿源(線204)經異相地脈衝化達第二間隔之久,以使得在遠端電漿源開啟時第二電漿源關閉,及在遠端電漿源關閉時第二電漿源關閉,以沉積及處理材料層 416。第一間隔206是適合於沉積預定量之材料層416並同時處理全部或大體上全部材料層416之時間量。第二間隔208是適合於沉積預定量之材料層416並隨後處理全部或大體上全部材料層416之時間量。
在一些實施例中,如第2H圖中繪示,遠端電漿源(線202)經脈衝開啟達第一間隔206之久,且經脈衝關閉達第二間隔208之久。第二電漿源(線204)在第一間隔206期間經脈衝開啟並關閉多次,且在第二間隔208期間關閉。第2H圖中繪示之實施例允許在處理沉積材料層416之同時沉積材料層416達第一間隔206之部分之久。第一間隔206是適合於沉積預定量之材料層416並處理全部或大體上全部材料層416之時間量。第二間隔208是適合於允許處理腔室中之反應性物種逸散之時間量。
在一些實施例中,如第2I圖中繪示,遠端電漿源(線202)經脈衝開啟達第一間隔之久,且脈衝關閉達第二間隔之久,並在第一間隔206之後,第二電漿源(線204)在第二間隔208期間經脈衝開啟達一預定時間210。第2I圖中繪示的實施例允許沉積材料層416,然後允許第一反應性物種430在處理沉積材料層416之前逸散。第一間隔206是適合於沉積預定量之材料層416的時間量。在第一間隔206之後的預定時間210是適合允許第一反應性物種430逸散的時間量。
可實施本揭示案實施例之沉積腔室可包括高密度電漿化學氣相沉積(high density plasma chemical vapor deposition;HDP-CVD)、電漿增强化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)、亞大氣化學氣相沉積(sub-atmospheric chemical vapor deposition;SACVD)腔室,及熱化學氣相沉積腔室,及其他類型之腔室。可實施本揭示案實施例之CVD系統之特定實例包括CENTURA ULTIMA® HDP-CVD腔室/系統,及PRODUCER® PECVD腔室/系統,上述各者可購自美國加利福尼亞州聖克拉拉市的應用材料公司。
第3圖是依據本揭示案之一些實施例適合於執行在基板上沉積材料之方法的處理腔室301之實例之示意性橫剖面視圖。
遠端電漿系統(remote plasma system;RPS)310可處理一氣體,該氣體隨後穿過進氣口組合件311。兩個相異的供氣通道可存在於進氣口組合件311內。第一通道312可承載穿過遠端電漿系統(remote plasma system;RPS)310之氣體,而第二通道313可繞過遠端電漿系統(remote plasma system;RPS)310。第一通道312可用於製程氣體而第二通道313可用於所揭示之實施例中之處理氣體。蓋件(或導電頂部部分)321與諸如噴淋頭353之穿孔隔板經圖示在彼此之間安置有絕緣環324,該絕緣環可允 許交流電電位相對於噴淋頭353而施加至蓋件321。製程氣體可穿過第一通道312進入腔室電漿區域320,且可單獨藉由腔室電漿區域320中之電漿或結合遠端電漿系統(remote plasma system;RPS)310而經激勵。腔室電漿區域320及/或遠端電漿系統(remote plasma system;RPS)310之組合在本案中可被稱作遠端電漿系統。穿孔隔板或噴淋頭353可分隔腔室電漿區域320與噴淋頭353下方之基板處理區域370。噴淋頭353可允許電漿存在於腔室電漿區域320中以避免直接激勵基板處理區域370中之氣體,同時仍允許激勵物種自腔室電漿區域320行進進入基板處理區域370。
噴淋頭353可定位於腔室電漿區域320與基板處理區域370之間,且允許電漿排出物或前驅物之激勵衍生物,或腔室電漿區域320內產生的其他氣體穿過橫貫一或更多個的板件厚度之複數個通孔356,該一或更多個板件包括在噴淋頭中。噴淋頭353亦可具有一或更多個中空體積351,該一或更多個中空體積可充填蒸汽或氣體形式之前驅物,如含矽前驅物,且穿過小孔355進入基板處理區域370內,而非直接進入腔室電漿區域320。在所揭示實施例中,噴淋頭353可比通孔356最小直徑350之長度厚。為維持從腔室電漿區域320滲透至基板處理區域370的激勵物種之顯著濃度,通孔最小直徑350之長度326可藉由形成部分穿過噴淋頭353的通孔356之較大直徑部分而得以限制。通孔356之最小 直徑350之長度可與所揭示實施例中通孔356之最小直徑具有相同數量級或更小。
在圖示實施例中,噴淋頭353例如可經由通孔356分配包含電漿蒸汽/氣體之製程氣體(如氬)。此外,噴淋頭353可經由較小孔355分配與腔室電漿區域320維持分隔之前驅物。製程氣體及前驅物可經由噴淋頭353而維持流體分隔,直至前驅物單獨進入基板處理區域370。一旦前驅物進入處理區域,則前驅物可彼此接觸並反應以在基板380上形成可流動介電材料。
噴淋頭353可定位於腔室電漿區域320與基板處理區域370之間,且允許電漿排出物或前驅物之激勵衍生物,或腔室電漿區域320內產生的其他氣體穿過橫貫一或更多個的板件厚度之複數個通孔356,該一或更多個板件包括在噴淋頭中。噴淋頭353亦可具有一或更多個中空體積351,該一或更多個中空體積可充填蒸汽或氣體形式之前驅物,如含矽前驅物,且穿過小孔355進入基板處理區域370內,而非直接進入腔室電漿區域320。噴淋頭353可比通孔356最小直徑350之長度厚。為維持從腔室電漿區域320滲透至基板處理區域370的激勵物種之顯著濃度,通孔最小直徑350之長度326可藉由形成部分穿過噴淋頭353的通孔356之較大直徑部分而得以限制。通孔356之最小直徑350之長度可與所揭示實施例中通孔356之最小直徑具有相同數量級或更小。
在圖示實施例中,噴淋頭353例如可經由通孔356分配包含電漿蒸汽/氣體之製程氣體(如氬)。此外,噴淋頭353可經由較小孔355分配與腔室電漿區域320維持分隔之前驅物,該前驅物例如含矽前驅物。製程氣體及前驅物可經由噴淋頭353而維持流體分隔,直至前驅物單獨進入基板處理區域370。一旦前驅物進入處理區域,則前驅物可彼此接觸並反應以在基板380上形成可流動材料,例如介電材料。
在實施例中,通孔356之數目可在約60個與約2000個之間。通孔356可具有多種形狀但可將通孔356製造為圓形。在所揭示實施例中,通孔356之最小直徑350可在約0.5mm與約20mm之間,或約1mm與約6mm之間。在選擇通孔橫剖面形狀時亦有範圍,通孔可製造為圓錐形、圓柱形或該兩個形狀之組合。在不同的實施例中,用以將氣體引入基板處理區域370內之小孔355之數目可在約100個與約5000個之間,或約500個與約2000個之間。小孔355之直徑可為約0.1mm與約2mm之間。
當經由通孔356到達噴淋頭353中之電漿排出物與經由來源於中空體積351之小孔355到達之含矽前驅物結合時,示例性膜可在由基板處理區域370內之台座支撑的基板上產生,該台座如在其上安置有基板380之台座375。儘管基板處理區域370可經配備以支 援電漿以用於諸如固化之其他製程,但在示例性膜生長或沉積期間不可存在電漿。
電漿可在噴淋頭353上方之腔室電漿區域320中或在噴淋頭353下方之基板處理區域370中被點燃。或者,腔室之任何部分中不可形成電漿,且僅可在遠端電漿系統(remote plasma system;RPS)310中形成電漿。電漿可存在於腔室電漿區域320中以產生自由基電漿排出物,如利用氬、氦、氫或氨中之一或更多者之流入物而產生。通常在射頻範圍內之交流電壓係施加於處理腔室之諸如蓋件321之導電頂部部分與噴淋頭353之間,以在沉積期間點燃腔室電漿區域320中之電漿。射頻電力供應產生13.56MHz之高射頻頻率,但亦可單獨或結合13.56MHz頻率而產生其他頻率。
當基板處理區域370中之底部電漿在介電層之成型期間或在清潔鄰接基板處理區域370之內表面時可開啟時,頂部電漿可保持在較低或無功率狀態。基板處理區域370中之電漿可藉由在噴淋頭353與腔室台座375或腔室底部之間施加交流電壓而點燃。清潔氣體可在電漿存在之同時被引入基板處理區域370。
台座375可為可移動的,且在所揭示實施例中可經配置以升高或降低,並可同樣經配置以旋轉。台座375可具有熱交換通道,熱交換流體流動穿過該通道以控制基板溫度。熱交換通道允許基板溫度被冷却或加熱以維持相對低溫,如自約0℃或更低溫度到達約200 ℃或更高溫度。熱交換流體可包括乙二醇、水或能够將熱引入系統或從系統移除熱之一些其他流體。台座之晶圓支撑盤亦可藉由使用嵌入式電阻加熱元件而電阻加熱,以便達到自約200℃或更低溫度上至約1100℃或更高溫度的較高溫度。加熱元件外部部分可在支撑盤周緣鄰近處延伸,而內側部分則在具有較小半徑的同心圓路徑上延伸。電阻加熱元件可額外地經由支撑盤捲繞,以提供更均勻之溫度。加熱元件接線可穿過台座桿。
腔室電漿區域或RPS中之區域可被稱作遠端電漿區域。在實施例中,例如氬前驅物之自由基前驅物可在遠端電漿區域中產生並進行至基板處理區域以與含矽前驅物結合。在實施例中,含矽前驅物僅由自由基氬前驅物激勵。在實施例中,電漿功率可主要僅施加於遠端電漿區域,以保證自由基氬前驅物向含矽前驅物提供主要激勵。
在使用腔室電漿區域之實施例中,激勵電漿排出物可在基板處理區域中與沉積區域隔開的一區段中產生。沉積區域,在本案中亦稱作基板處理區域,可為電漿排出物與含矽前驅物混合並反應以在基板上沉積介電材料之處,該基板例如半導體晶圓。激勵電漿排出物亦可伴隨有額外氣體,該等氣體例如包括其他惰性氣體或氨。在實施例中,含矽前驅物在進入基板電漿區域之前不可穿過電漿。在本案中,基板處理區域可描述為在介電材料沉積期間「無電漿」。「無電漿」並非一定意 謂著區域不含電漿。電漿區域內產生的離子化物種及自由電子可穿過隔板或噴淋頭中之小孔或孔徑,但含矽前驅物基本上不可被施加於電漿區域之電漿功率激勵。腔室電漿區域中之電漿邊界難以界定,且可經由噴淋頭中之孔徑侵入基板處理區域。在電感耦合電漿之情况下,可在基板處理區域內直接實現少量離子化。此外,低强度電漿可在基板處理區域中產生,而不消除形成膜之特定特徵。在激勵電漿排出物產生期間,電漿所具有之强度離子密度遠低於腔室電漿區域或遠端電漿區域的全部原因不脫離如本案中所使用的「無電漿」範疇。
電漿功率可為多種頻率或多個頻率組合。在示例性處理系統中,電漿可藉由相對於噴淋頭353而輸送至蓋件321之射頻功率而提供。在不同實施例中,射頻功率可在約10W與約2000W之間,在約100W與約2000W之間,在約200W與約1500W之間,小於或約100W,或小於或約500W。在不同的實施例中,在示例性處理系統中施加之射頻頻率可為小於約200kHz之低射頻頻率,約10MHz與約15MHz之間的高射頻頻率,或大於或約1GHz之微波頻率。電漿功率可為電容式耦合(capacitively coupled;CCP)或電感耦合(inductively coupled;ICP)至遠端電漿區域。
在前驅物、任何載氣及電漿排出物流入基板處理區域370期間,基板處理區域370可維持在多種壓力下。在不同實施例中,壓力可維持在約0.1毫托與約 100托之間,約1托與約20托之間,小於約5托,或小於約3托。
第5圖是依據本揭示案之一些實施例適合於執行在基板502上沉積材料之方法的處理腔室500之實例之示意性橫剖面視圖。基板502安置於處理腔室500中基板支撑件504(例如台座)之頂部上。
在一些實施例中,微波電源、射頻電源或直流電源耦接至基板支撑件504(亦即第二電漿源)。電源可在連續波(continuous wave;CW)模式或脈衝化模式下操作。在第5圖中繪示的實施例中,第一微波電源510耦接至基板支撑件504。
遠端電漿源(remote plasma source;RPS)506向處理腔室500開啟。在一些實施例中,微波電源、射頻電源,或直流電源耦接至遠端電漿源506。電源可在連續波(continuous wave;CW)模式或脈衝化模式下操作。在第5圖中繪示的實施例中,第二微波電源511耦接至遠端電漿源506。
在材料沉積期間,電漿508可在遠端電漿源506內產生。在一些實施例中,電漿508可在遠端電漿源506中經脈衝化以產生反應性物種509。反應性物種509可輸送至處理腔室500。在一些實施例中,電漿508可藉由將脈衝化微波信號或脈衝化射頻信號施加於遠端電漿源506而脈衝化。本發明者注意到,在沉積製程期 間對電漿508進行脈衝化以產生反應性物種612有利地形成用於薄膜沉積之新前驅物。
電漿512可在處理腔室500中產生。在一些實施例中,電漿512可藉由將脈衝化微波信號或脈衝化射頻信號或脈衝化直流電信號施加於基板支撑件604而脈衝化。本發明者注意到,在處理製程期間經由例如微波電源610對電漿512進行脈衝化有利地减少沉積膜中之氫及氮含量。
儘管前述內容係針對本揭示案之實施例,但亦可在不脫離本揭示案基本範疇之前提下設想本揭示案之其他及更多實施例。
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟

Claims (20)

  1. 一種用於處理安置在一處理腔室中之一基板之方法,該方法包括以下步驟:(a)藉由將一基板曝露於一第一反應性物種而在該基板上沉積一材料層,該第一反應性物種利用一遠端電漿源而產生;及(b)藉由將該基板曝露於一電漿而處理全部或大體上全部之該沉積材料層,該電漿在該處理腔室內利用一第二電漿源而產生,其中該遠端電漿源或該第二電漿源中之至少一者經脈衝化以控制沉積周期及處理周期。
  2. 如請求項1所述之方法,進一步包括以下步驟:(c)重複(a)及(b)之步驟,直至在該基板上沉積及處理一預定厚度之該材料層。
  3. 如請求項1所述之方法,其中該第二電漿源耦接至該處理腔室內之一基板支撑台座。
  4. 如請求項1所述之方法,其中該遠端電漿源在一連續波(CW)模式下操作達一第一間隔之久,以沉積該材料層,且其中該第二電漿源在該第一間隔期間經脈衝化以處理該沉積材料層,同時沉積一額外材料層。
  5. 如請求項1所述之方法,其中該遠端電漿源在一連續波(CW)模式下操作達一第一間隔之久以沉積該材料層,且在該第一間隔之後關閉達一第二間隔之久,其中該第二電漿源關閉達一第一間隔之久並在該第二間隔期間經脈衝化以處理該沉積材料層。
  6. 如請求項1所述之方法,其中該遠端電漿源經脈衝化達一第一間隔之久以沉積該材料層,且該第二電漿源在該第一間隔期間在一連續波(CW)模式下操作以連續地處理該沉積材料層。
  7. 如請求項1所述之方法,其中該遠端電漿源及該第二電漿源皆經脈衝化。
  8. 如請求項7所述之方法,其中該遠端電漿源及該第二電漿源經同相脈衝化以使得該遠端電漿源及該第二電漿源在一第一間隔期間同時開啟,且在一第二間隔期間同時關閉以同時沉積該材料層並處理該沉積材料層。
  9. 如請求項7所述之方法,其中該遠端電漿源及該第二電漿源經異相脈衝化,以使得在該遠端電漿源開啟時該第二電漿源關閉,且在該遠端電漿源關閉時該第二電漿源開啟,以沉積該材料層,並隨後處理該沉積材料層,而非沉積額外材料層。
  10. 如請求項7所述之方法,其中該遠端電漿 源及該第二電漿源經異相脈衝化達一第一間隔之久,以使得在該遠端電漿源開啟時該第二電漿源關閉,並在該遠端電漿源關閉時該第二電漿源開啟,且該遠端電漿源及該第二電漿源經同相脈衝化達一第二間隔之久,以使得該遠端電漿源開啟時該第二電漿源開啟,且當該遠端電漿源關閉時該第二電漿源關閉。
  11. 如請求項7所述之方法,其中該遠端電漿源及該第二電漿源經同相脈衝化達一第一間隔之久,以使得在該遠端電漿源開啟時該第二電漿源開啟,並在該遠端電漿源關閉時該第二電漿源關閉,且該遠端電漿源及該第二電漿源經異相地脈衝化達一第二間隔之久,以使得該遠端電漿源開啟時該第二電漿源關閉,且當該遠端電漿源關閉時該第二電漿源開啟以沉積並處理該材料層。
  12. 如請求項7所述之方法,其中該遠端電漿源經脈衝開啟達一第一間隔之久,並經脈衝關閉達一第二間隔之久,且該第二電漿源在該第一間隔期間經脈衝開啟及閉達多次,並在該第二間隔期間關閉。
  13. 如請求項7所述之方法,其中該遠端電漿源經脈衝開啟達一第一間隔之久,並經脈衝關閉達一第二間隔之久,且其中該第二電漿源在該第一間隔之 後在該第二間隔期間經脈衝開啟達一預定時間。
  14. 如請求項1所述之方法,其中該遠端電漿源及該第二電漿源耦接至一射頻電源、一直流電源,或一微波電源。
  15. 如請求項1所述之方法,其中該第一反應性物種利用一第一製程氣體而產生,以沉積碳化矽(SiC)、氮化矽(SiN)、氧化矽(SiO)、氮氧化矽(SiON)、碳氧化矽(SiOC),或金屬氧化物中之一者。
  16. 如請求項1所述之方法,其中在該處理腔室內產生之該電漿由一第二製程氣體形成,該第二處理氣體包括氦(He)、氬(Ar)、氖(Ne)、氪(Kr)、氮(N2)、氨(NH3),或該等氣體之任何組合。
  17. 如請求項1所述之方法,其中對該遠端電漿源及該第二電漿源進行脈衝化之步驟可藉由調整施加至該遠端電漿源或該第二電漿源之一脈衝頻率,或一工作循環,或功率而控制。
  18. 如請求項1所述之方法,其中該處理腔室內一基板支撑台座的一溫度經控制在自約-150℃至約500℃。
  19. 一種用於在一基板上沉積一材料之方法,該基板安置在一處理腔室中一基板支撑台座頂部 上,該方法包括以下步驟:(a)藉由將一基板曝露於一第一反應性物種而在該基板上沉積一材料層,該第一反應性物種利用一遠端電漿源而產生;(b)藉由將該基板曝露於一電漿而處理全部或大體上全部之該沉積材料層,該電漿在該處理腔室內利用一第二電漿源而產生;其中該遠端電漿源經脈衝化達一第一間隔之久,且該第二電漿源與該第一間隔同時經脈衝化達一第二間隔之久;及(c)重複(a)及(b)之步驟,直至在該基板上沉積及處理一預定厚度之該材料,其中在(a)至(c)之步驟期間該基板支撑台座之一溫度被控制在自約-150℃至約500℃。
  20. 一種非暫時性電腦可讀取媒體,該媒體上儲存有指令,執行該等指令時產生用於處理一基板之一方法,該基板安置在一處理腔室中一基板支撑台座頂部上,該方法包括以下步驟:(a)藉由將一基板曝露於一第一反應性物種而在該基板上沉積一材料層,該第一反應性物種利用一遠端電漿源而產生;(b)藉由將該基板曝露於一電漿而處理全部或大體上全部之該沉積材料層,該電漿在該處理腔室內利用 一第二電漿源而產生,其中該遠端電漿源或該第二電漿源中之至少一者經脈衝化以控制沉積周期及處理周期;及(c)重複(a)及(b)之步驟,直至在該基板上沉積及處理一預定厚度之該材料,其中在(a)至(c)之步驟期間該基板支撑台座之一溫度被控制在自約-150℃至約500℃。
TW105108164A 2015-03-17 2016-03-16 用於膜沉積的脈衝化電漿 TWI701357B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562134381P 2015-03-17 2015-03-17
US62/134,381 2015-03-17

Publications (2)

Publication Number Publication Date
TW201636453A true TW201636453A (zh) 2016-10-16
TWI701357B TWI701357B (zh) 2020-08-11

Family

ID=56919499

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105108164A TWI701357B (zh) 2015-03-17 2016-03-16 用於膜沉積的脈衝化電漿

Country Status (6)

Country Link
US (1) US10096466B2 (zh)
JP (1) JP6789966B2 (zh)
KR (1) KR102521812B1 (zh)
CN (1) CN107430992B (zh)
TW (1) TWI701357B (zh)
WO (1) WO2016149541A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI728478B (zh) * 2018-09-24 2021-05-21 美商慧盛材料美國責任有限公司 含矽及氮膜的製造方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9721788B1 (en) * 2016-07-22 2017-08-01 International Business Machines Corporation Simultaneous formation of liner and metal conductor
US9870993B1 (en) 2016-07-22 2018-01-16 International Business Machines Corporation Simultaneous formation of liner and metal conductor
US9646931B1 (en) 2016-07-22 2017-05-09 International Business Machines Corporation Formation of liner and metal conductor
US9728399B1 (en) * 2016-07-22 2017-08-08 International Business Machines Corporation Simultaneous formation of liner and metal conductor
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
US10319582B2 (en) * 2017-04-27 2019-06-11 Lam Research Corporation Methods and apparatus for depositing silicon oxide on metal layers
US11117807B2 (en) * 2017-06-23 2021-09-14 Jiangsu Nata Opto-Electronic Materials Co. Ltd. Method of making aluminum-free neopentasilane
JP7344867B2 (ja) * 2017-08-04 2023-09-14 ラム リサーチ コーポレーション 水平表面上におけるSiNの選択的堆積
CN109585264B (zh) * 2018-08-26 2020-12-22 合肥安德科铭半导体科技有限公司 一种氮化硅薄膜的可流动化学气相沉积方法
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
WO2020251882A1 (en) * 2019-06-08 2020-12-17 Applied Materials, Inc. Low deposition rates for flowable pecvd
US11972943B2 (en) * 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
TWI819257B (zh) 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
KR20220102569A (ko) * 2021-01-13 2022-07-20 에이에스엠 아이피 홀딩 비.브이. 갭 충진 유체를 증착하기 위한 방법 그리고 이와 관련된 시스템 및 장치

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5014217A (en) * 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
JP3951003B2 (ja) * 1995-11-17 2007-08-01 俊夫 後藤 プラズマ処理装置および方法
JP4296371B2 (ja) * 2000-07-24 2009-07-15 日本電気株式会社 多結晶シリコン膜の成膜方法とその成膜装置
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7651961B2 (en) * 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US20090272717A1 (en) * 2008-03-21 2009-11-05 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8101531B1 (en) * 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8465811B2 (en) * 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US20130217239A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
US8575033B2 (en) * 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9232628B2 (en) * 2013-02-20 2016-01-05 Varian Semiconductor Equipment Associates, Inc. Method and system for plasma-assisted ion beam processing
WO2014149175A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
US20150140836A1 (en) * 2013-11-18 2015-05-21 Intermolecular, Inc. Methods to Control SiO2 Etching During Fluorine Doping of Si/SiO2 Interface

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI728478B (zh) * 2018-09-24 2021-05-21 美商慧盛材料美國責任有限公司 含矽及氮膜的製造方法

Also Published As

Publication number Publication date
TWI701357B (zh) 2020-08-11
JP6789966B2 (ja) 2020-12-02
JP2018511700A (ja) 2018-04-26
KR102521812B1 (ko) 2023-04-14
US20160276150A1 (en) 2016-09-22
WO2016149541A1 (en) 2016-09-22
CN107430992A (zh) 2017-12-01
CN107430992B (zh) 2022-07-01
KR20170126494A (ko) 2017-11-17
US10096466B2 (en) 2018-10-09

Similar Documents

Publication Publication Date Title
TWI701357B (zh) 用於膜沉積的脈衝化電漿
US9190290B2 (en) Halogen-free gas-phase silicon etch
US9378969B2 (en) Low temperature gas-phase carbon removal
US9412608B2 (en) Dry-etch for selective tungsten removal
US10465294B2 (en) Oxide and metal removal
US9659791B2 (en) Metal removal with reduced surface roughness
US9406523B2 (en) Highly selective doped oxide removal method
US9373522B1 (en) Titanium nitride removal
US9018108B2 (en) Low shrinkage dielectric films
US9362107B2 (en) Flowable low-k dielectric gapfill treatment
US20140273451A1 (en) Tungsten deposition sequence
US20140256131A1 (en) Selective titanium nitride removal
US20140342569A1 (en) Near surface etch selectivity enhancement
WO2014113177A1 (en) Dry-etch for selective tungsten removal
TW201610205A (zh) 藉由可流動沉積之低k介電質間隙塡充
WO2012093983A2 (en) Remote plasma source seasoning
WO2013019565A2 (en) Inductive plasma sources for wafer processing and chamber cleaning
KR20210009443A (ko) 탄소 갭필 막들
CN107851558B (zh) 以uv辅助方式将材料注入多孔膜
TW201829821A (zh) 高壓退火及降低濕蝕刻速率