JP7344867B2 - 水平表面上におけるSiNの選択的堆積 - Google Patents

水平表面上におけるSiNの選択的堆積 Download PDF

Info

Publication number
JP7344867B2
JP7344867B2 JP2020505773A JP2020505773A JP7344867B2 JP 7344867 B2 JP7344867 B2 JP 7344867B2 JP 2020505773 A JP2020505773 A JP 2020505773A JP 2020505773 A JP2020505773 A JP 2020505773A JP 7344867 B2 JP7344867 B2 JP 7344867B2
Authority
JP
Japan
Prior art keywords
sin
oxide
layer
tungsten
nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020505773A
Other languages
English (en)
Other versions
JP2020529736A (ja
Inventor
シュラベンディク・バート・ジェイ. バン
グプタ・アウニッシュ
クリーンプット・パトリック・エー. バン
パク・ジェーソン・デジン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020529736A publication Critical patent/JP2020529736A/ja
Application granted granted Critical
Publication of JP7344867B2 publication Critical patent/JP7344867B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0408Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0466Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells with charge storage in an insulating layer, e.g. metal-nitride-oxide-silicon [MNOS], silicon-oxide-nitride-oxide-silicon [SONOS]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Description

[関連出願の相互参照]
本出願は、2017年8月4日に出願された米国仮特許出願第62/541,262号に対する優先権を主張し、あらゆる目的のために本明細書に参照として組み込まれる。
半導体デバイスの製造は、フラッシュメモリの製造を伴う。デバイスが縮小するにつれて、メモリデバイス内のメモリセルの密度を最大化するために、効率的な複数のメモリセルを製造するための構造が使用される。3D NAND技術は、メモリセルを垂直に層状に積み重ねることにより、2次元NAND技術に関連する課題に対処する。
本明細書では、半導体基板を処理する方法および装置が提供される。本開示の一態様は、3D NAND構造を製造するために半導体基板を処理する方法を伴い、方法は、階段パターンで構成された交互に設けられた酸化物層および窒化物層を有する基板を提供することを伴う。窒化物層の各々は、露出された水平表面を有する。階段パターン上に酸化物充填材を堆積する前に、高密度プラズマ化学気相成長(HDP CVD)によって、酸化物層および窒化物層の両方の上に窒化ケイ素(SiN)が堆積される。SiNは、窒化物層の露出された水平表面上に、各層において、酸化物側壁表面に対して選択的に堆積されて、SiNパッドが形成される。
HDP CVDによるSiNの堆積は、窒化物層の露出された水平表面上にSiNを堆積してSiNパッドを形成し、一方で、階段パターンの酸化物層の側壁に堆積されたSiNをSiNパッドに対して選択的にエッチングすることを同時に実施することを伴ってよい。更に、窒化物層の露出された水平表面は、各層において、堆積化学物質に暴露されてSiNパッドが形成されてよい。また、階段パターンの酸化物層の側壁がエッチャントに暴露されて、側壁に堆積されたSiNが、SiNパッドに対して選択的にエッチングされてよい。
代替として、いくつかの実施形態では、階段パターンの酸化物層をエッチャントに暴露させる前に、窒化物層の露出された水平表面を各層において堆積化学物質に暴露させてよい。エッチャントは、水素ガス(H2)またはフッ素含有ガスから生成されたプラズマ種を含んでよい。いくつかの実施形態では、SiNの堆積から、酸化物層の側壁に堆積されたSiNの選択的エッチングへの移行は、基板を保持する台座に印加されるバイアスを低下させることを伴ってよい。
いくつかの実施形態では、HDP CVDによりSiNを堆積することは、ケイ素含有反応物および窒素含有反応物をHDP CVDチャンバに流すことを伴ってよい。
いくつかの実施形態では、窒化物層はタングステン(W)ワード線で置換されてよい。SiNパッドは、タングステンランディングパッドで置換されてよい。更に、HDP CVDにより窒化物層の露出表面上にSiNを堆積させた後、酸化物充填材を階段パターン上に堆積させてよい。酸化物充填材をエッチングして、酸化物充填材内に垂直ビアを形成してよい。垂直ビアは、タングステンワード線まで延びてよい。酸化物充填材は、タングステンランディングパッドに対して選択的にエッチングされてよい。タングステンをビア内に堆積させて、ワード線まで延びるインターコネクトを形成してよい。1つ以上のビアによる1つ以上のワード線のパンチスルーが生じないように保護するために、タングステンランディングパッドをワード線上に位置決めしてよい。
いくつかの実施形態では、階段パターンの酸化物層の側壁に堆積されたSiNが、水平表面上にSiNの堆積物を実質的に損なわないようにしながら、選択的にエッチングされてよい。
本開示の別の態様は、窒化物層が酸化物層の間に配置されて階段構造を形成する基板を処理する方法を伴う。窒化物層の一部は露出されている。高密度プラズマ化学気相成長(HDP CVD)によってケイ素含有反応物および窒素含有反応物から堆積されたSiNを、基板にバイアスを印加しながら、窒化物層の露出部分の平坦面上に堆積させてよい。階段構造の酸化物層の側壁に堆積された材料は、側壁に堆積された材料を、窒化物層の露出部分の平坦面上に堆積された材料に対して選択的にエッチングすることにより除去してよい。いくつかの実施形態では、ケイ素含有反応物および窒素含有反応物の堆積は異方性であってよい。更に、側壁に堆積された材料をエッチングすることは、水素ガスまたはフッ素含有ガスから生成されたプラズマ種に側壁を暴露させることを伴ってよい。また更に、側壁に堆積された材料の堆積および除去は、同時にまたは逐次的にのいずれかで実施されてよい。
別の態様は、基板を処理するための装置を伴う。装置は、プロセスチャンバを収容するリアクタを含んでよい。プラズマ源は、プロセスチャンバと流体連通し結合されていてよい。基板台座は、プロセスチャンバ内に配置されてよい。基板台座は、基板台座に結合された電極によって供給される電気エネルギーを受け取ってよい。1つ以上のガス入口が、プロセスチャンバに通じ、ガス入口に関連付けられた流量制御ハードウェアを有してよい。プロセスガスが、ガス入口を介してプロセスチャンバの中に導入されてよい。リアクタを制御するためにコントローラが構成されてよい。コントローラはプロセッサおよびメモリを有する。プロセッサとメモリは互いに通信可能に接続されている。プロセッサは、少なくとも、流量制御ハードウェアに動作可能に接続され、メモリは、プロセッサを制御するためのコンピュータ実行可能命令を格納して、少なくとも、(a)プロセスガスをプロセスチャンバに注入する工程であって、プロセスガスは、ケイ素含有反応物、窒素含有反応物、および水素ガスを含み、水素ガスとケイ素含有反応物との比は少なくとも1:2である、工程と、(b)(a)の間に電極にバイアスを印加する工程と、(c)(a)の後に、電極に印加されたバイアスを低下させる工程と、(d)(c)の間に、水素(H2)ガスまたはフッ素含有ガスを注入する工程と、によって流量制御ハードウェアを制御する。
これらおよび他の特徴は、関連する図面を参照して以下に説明される。
方法の作業を示すプロセスフロー図である。
パターン形成スキームにおける基板の概略図である。 パターン形成スキームにおける基板の概略図である。 パターン形成スキームにおける基板の概略図である。
図4Aに示す基板の部分図である。
パターン形成スキームにおける基板の概略図である。 パターン形成スキームにおける基板の概略図である。 パターン形成スキームにおける基板の概略図である。 パターン形成スキームにおける基板の概略図である。 パターン形成スキームにおける基板の概略図である。
図5Aに示す基板の概略図の側面図である。 図6Aに示す基板の概略図の側面図である。 図7Aに示す基板の概略図の側面図である。
方法の作業を示すプロセスフロー図である。
パターン形成スキームにおける基板の概略図である。 パターン形成スキームにおける基板の概略図である。 パターン形成スキームにおける基板の概略図である。 パターン形成スキームにおける基板の概略図である。 パターン形成スキームにおける基板の概略図である。 パターン形成スキームにおける基板の概略図である。
特定の開示された実施形態を実行するための例示的なプロセスチャンバの概略図である。
特定の開示された実施形態を実行するための例示的なプロセスツールの概略図である。
以下の説明では、提示された実施形態の理解をもたらすために、多数の特定の詳細が述べられる。開示された実施形態は、これらの特定の詳細の一部または全てを有することなく実施されてよい。その他の場合、開示された実施形態を不明瞭にしないために、よく知られたプロセス作業は詳細には説明していない。更に、開示された実施形態は特定の実施形態と関連して説明されることになるが、特定の実施形態は、開示された実施形態を限定することを意図するものではないことを理解されたい。
以下に開示する実現形態は、ウェハ、基板、または他のワークピースなどの基板上への材料の堆積について説明する。ワークピースは、様々な形状、サイズ、および材料を有してよい。本出願では、用語「ウェハ」および「基板」は交換可能に用いられる。
半導体製造は、多くの場合、メモリデバイスの製造を伴う。一例は、「垂直NAND」(VNAND)構造とも呼ばれる3D NANDの製造である。しかし、3D NAND構造を形成するための既存の技術は、より小さなデバイスへのスケーリングに関しては限定されており、現在利用可能なパターン形成技術は、3D NAND構造における様々な構成要素の望ましくない劣化を引き起こす場合がある。
現在の3D NAND技術では、階段パターンで構成された交互に設けられた酸化物層および窒化物層の上に堆積された酸化物充填材にビアが形成される場合がある。ビアは垂直に延びて、窒化物層を置換する露出されたタングステンワード線に接触する。タングステンなどの金属がビア内に堆積されてインターコネクトを形成し、インターコネクトはタングステンワード線まで延びてタングステンワード線に接触する。しかし、インターコネクトに対するワード線の各層の高さの変動を考慮すると、様々なインターコネクトと、それらに対応するワード線との間に十分な停止距離を維持することが困難であると判明する場合がある。したがって、最長のインターコネクトを充填して階段パターンのベース層上のワード線と接触させるために必要な時間は、階段パターンの上の方に位置するワード線を介してワード線の下に位置する酸化物層の中まで至る、より短いインターコネクトの意図しない望ましくない「パンチスルー」をもたらす場合がある。したがって、階段上のより高い位置に位置するワード線上の接触面は、階段パターンの底の方に位置するワード線に対してオーバーエッチングされる場合がある。
更に、3D NAND構造において、交互に設けられた酸化物層および窒化物層の高さまたは数を増加させることもまた、交互に設けられた層の積層体の高さが実質的なエッチングと反りの問題を引き起こす場合があるので、困難な場合がある。背の高い3D NAND構造に起因するエッチングと反りの問題の影響を緩和するために、酸化物層または窒化物層の各々の厚さを減少させてよい。しかし、そうすると、上述のパンチスルーの問題が更に悪化する場合がある。
上述の問題に対処する他の解決策には、階段パターン上の高い場所でのオーバーエッチングを最小限に抑え得るように、コンタクトマスクおよびエッチング作業を増やすことが含まれるが、そのようにすると、多くの場合、コストが増加し歩留まりが低下する。
代替として、エッチストップ層を階段パターンの上に追加して、上述のパンチスルー問題に対処し得る。しかし、選択性が高いエッチストップ層は、ビアがエッチングされる領域において選択性を有する場合もあり、したがって、ビア領域の近くまたはビア領域内で、階段パターンにおける窒化物層の除去を意図せずに妨げる場合がある。
本方法を実現するように構成された、開示された方法および装置は、階段パターンの各窒化物層の選択された露出部分に窒化ケイ素(SiN)を堆積させて、その上にSiNパッドを形成する。いくつかの実施形態では、方法は、高密度プラズマ化学気相成長(HDP CVD)ツール内でのインサイチュでのエッチングを含む。HDP CVDを使用してSiNを堆積することに関連する利点には、他の堆積方法と比較して、側壁堆積カバレッジが意図せずに薄いことが含まれる。更に、等方的に供給された、水素含有種またはフッ素含有種から生成されたエッチャントなどのエッチャントへのSiNパッドの暴露により、階段パターンの側壁に堆積されたSiNを除去し、一方で水平表面に堆積されたSiNを損なうことがないようにし得る。いくつかの実施形態では、SiN堆積を単一の作業として完了させ、したがってコストおよびプロセスサイクル時間を最小限に抑え得る。以下の方法およびツールは、3D NAND構造において窒化物層上にSiNパッドを形成することに関連して説明されているが、水平表面への選択的なSiN堆積が求められる、いかなる用途で使用されてもよい。
図1は、3D NAND構造を形成するための方法に従って実施される作業のプロセスフロー図を示す。作業182では、基板が提供される。様々な実施形態では、基板は半導体基板である。基板は、シリコンウェハ、例えば、200mmウェハ、300mmウェハ、または450mmウェハであってよく、1層以上の材料層、例えば、誘電性、導電性、または半導電性の材料がその上に堆積されたウェハを含む。例示的な基板100は、図2の概略図として提供される。
図1に戻ると、作業184において、交互に設けられた酸化膜および窒化膜の膜積層体が基板上に堆積される。様々な実施形態では、堆積される酸化物層は酸化ケイ素層である。様々な実施形態では、堆積される窒化物層は窒化ケイ素層である。
酸化物層および窒化物層の各々は、約10nm~約100nm、またはいくつかの実施形態では約350nmなどの、ほぼ同じ厚さに堆積されてよい。酸化物層は、ほぼ室温から約600℃の間の堆積温度で堆積されてよい。本明細書で使用される場合、「堆積温度」(または「基板温度」)は、基板を保持する台座が堆積中に設定される温度を指すことが理解されるであろう。
酸化物および窒化物が交互に設けられた膜積層体を形成するための酸化物層と窒化物層は、原子層堆積(ALD)、プラズマ強化原子層堆積(PEALD)、化学気相成長(CVD)、プラズマ強化化学気相成長(PECVD)、またはスパッタリングなどの任意の好適な技術を使用して堆積してよい。様々な実施形態では、酸化物層および窒化物層はPECVDによって堆積される。
膜積層体は、48~512層の交互に設けられた酸化物層および窒化物層を含んでよい。酸化物または窒化物層の各々は1層の層を構成する。交互に設けられた酸化物層および窒化物層を含む膜積層体は、酸化物-窒化物-酸化物-窒化物(ONON)積層体と呼ばれ得る。
図3は、交互に設けられた酸化物膜101および窒化物膜102が基板100上に堆積された基板100の例示的な概略図を示す。図3に示す構造では、酸化物が最初に堆積され、その後に窒化物、酸化物、窒化物などが示されているが、窒化物が最初に堆積され、その後に酸化物、窒化物、酸化物などが続いてよいことに留意されたい。
ONON積層体の堆積に続いて、基板内に1つ以上のチャネル(図3には示さず)がエッチングされる。引き続き、図1を参照すると、作業186において、基板上に階段パターンが形成される。本明細書で言及する場合、「階段パターン」は、各ステップが酸化物層と窒化物層とを含むステップの2つ以上のステップを意味する。酸化物層および窒化物層の各セットの上層は、階段においてステップを形成する酸化物層または窒化物層のいずれでもよいことが理解されるであろう。様々な実施形態では、階段パターンは、24~256のステップを含む。階段パターンは、様々なパターン形成技術を使用して形成してよい。1つの技術は、基板上に犠牲層を堆積し、基板の領域をマスクして、酸化物層および窒化物層の各セットをエッチングして階段を形成することを含んでよい。
図4Aは基板100の例を提供し、基板は、酸化物層111および窒化物層112の階段パターンを含み、最上部の窒化物層の上にハードマスク110を有する。図4Aは階段パターンの4つのステップを示すが、階段パターンは24~256ステップなどの任意の数のステップを有してよいことが理解されるであろう。各ステップは、窒化物層および酸化物層を含む。上のステップの縁部から外側に延びる各ステップの領域は、ステップの「露出」領域もしくはステップの最上層、またはその上に堆積するのに好適な部分と呼ばれる場合がある。
階段パターンの階層構造を強調するために、図4Aに示す階段パターンのビュー199を、例えば階段の幅方向に二分したものを図4Bに示す。酸化物層111は、窒化物層112と平行に、かつその間に配置される。1つの酸化物層111と、それに続く1つの窒化物層112の各セットは、その直上のセットよりも長く、したがって露出領域を有する階段パターンを形成する。
いくつかの実施形態では、図1の作業188において、酸化物が基板上に堆積される。酸化物は、ONON積層体の層に堆積された酸化物と同じ組成でも異なる組成でもよい。様々な実施形態では、基板上に堆積される酸化物は、ONON積層体において酸化物層を堆積するのに使用される堆積温度と同じ堆積温度で、またはそれとは異なる堆積温度で堆積される。堆積温度は、室温から約600℃の間であってよい。酸化物を堆積した後に引き続き、基板に垂直スリットをエッチングしてよい。
図5Aは、ONON階段、ハードマスク110、および基板上に堆積された酸化物122を含む例示的な基板100を示す。図5Bは、垂直スリット135がエッチングされ、ハードマスク110が除去された後の基板100の側面図を示す。
作業190において、基板上の酸化物に対して選択的に、窒化物がエッチングされる。エッチングは、選択的ドライエッチングプロセスを使用して、例えば、基板を以下のガスのうちの任意の1つ以上に暴露させることにより実施できる:塩素(Cl2)、酸素(O2)、亜酸化窒素(N2O)、テトラフルオロメタン(CF4)、四フッ化硫黄(SF4)、二酸化炭素(CO2)、フッ化メタン(CH3F)、三フッ化窒素(NF3)、窒素(N2)、水素(H2)、アンモニア(NH3)、メタン(CH4)、六フッ化硫黄(SF6)、アルゴン(Ar)、硫化カルボニル(COS)、二硫化炭素(CS2)、硫化水素(H2S)、および一酸化窒素(NO)。作業190は、エッチング種が階段パターン内に形成された垂直スリットの中に流れ込み、窒化物を選択的にエッチングするように、ONONスタックから窒化物層を除去する。選択的エッチングは、第2の材料をエッチングするよりも速い速度で第1の材料をエッチングすることを伴うことが理解されるであろう。例えば、窒化物を酸化物に対して選択的にエッチングするということは、窒化物が酸化物のエッチングよりも速い速度でエッチングされることを意味する。窒化物は、基板をリン酸(H3PO4)、希釈フッ化水素酸(「DHF」)、またはこれら溶液の混合物に暴露させるなど、ウェットエッチングプロセスを使用して選択的にエッチングすることもできる。しかし、窒化物を選択的に除去することは、各階段の端部の酸化物-酸化物界面などの様々な界面において酸化物材料の劣化および除去のリスクをもたらす。これについて、図6Aを参照して以下で更に説明する。
図6Aは、窒化物層112のエッチングにより形成された水平ギャップ132を有する基板100の例示的な概略図を示す。170の円で描かれた拡大図に示すように、エッチング作業中にエッチング種がギャップ132の中に流れ込み、酸化物においてエッチングされ除去されるので、酸化物-酸化物界面にギャップ134が形成される場合がある。図6Bは基板の断面の側面図を示し、窒化物を選択的にエッチングすることによりギャップ132が形成される。
図1に戻ると、作業192において、タングステンが基板のギャップの中に堆積されてタングステンワード線が形成される。タングステンは、ALD、CVD、PEALD、およびPECVDなどの任意の好適な技術によって堆積させてよい。いくつかの実施形態では、バルクタングステンを堆積する前に、バリア層またはタングステン核形成層の一方または両方が堆積される。図7Aは、堆積されたタングステンワード線140を含む基板100の例を示す。しかし、170の拡大図に示すように、酸化物-酸化物界面での酸化物の劣化に起因して、タングステンが141のギャップを充填し、それにより2本のワード線140を接続させ、短絡を引き起こす可能性がある。図7Bは、図7Aの基板の側面からの断面での概略図であり、以前に窒化物が位置していたギャップ内にタングステン140が堆積されている。
図1に戻ると、作業194において、酸化物が垂直にエッチングされてビアが形成される。酸化物は、O2、Ar、C46、C48、SF6、CHF3、およびCF4のうちの1つ以上のガスなどのエッチャントへの暴露を使用するドライエッチングによってエッチングされてよい。図8は、階段パターンのONONスタックを含む例示的な基板100を示し、酸化物122内にビア137がエッチングされている。しかし、タングステンワード線層が相対的に薄いこと、および最も深いビア(例えば、137b)をエッチングするのに垂直エッチングを確実に十分にするために使用されるエッチング時間が長いことに起因して、エッチング種は、酸化物の浅い部分についてエッチングされるビア(例えば、137a)の中に流れ込み、したがってタングステン層136をエッチングして貫通し、更には別の酸化物層138をエッチングして貫通する。先に論じたように、このような現象は典型的には望ましくなく、目標とするタングステンワード線の接点または層の下方に位置する層への「パンチスルー」または「ブレークスルー」と呼ばれる。
図1では、作業196において、ビア内にタングステンが堆積されて、タングステンワード線へのインターコネクトが形成される。しかし、図9に示すように、深いビアをエッチングするために使用される継続時間ゆえに、浅いビアは下の層にブレークスルーを引き起こすため、タングステンがビアを充填し(充填されたタングステンビア142を参照のこと)、図9の172にて丸で囲んだように短絡を引き起こす。ビアの深さは、約1マイクロメートルから約12マイクロメートルの間で変動する。浅いビアは、3.0マイクロメートル未満、例えば約1.5マイクロメートルから3.0マイクロメートルの深さを有すると定義され得る。深いビアは、3.0マイクロメートルを超える深さを有してよい。酸化物内に形成されたビアの限界寸法は、約50nm~約500nmであってよい。ビアは、酸化物をパターン形成するためのマスキング作業を伴い得るドライエッチングプロセスを使用してエッチングされ得る。
3D NAND構造を形成する従来の技術では、窒化物の選択的除去の間の酸化物-酸化物界面の劣化、および異なる深さのビアをエッチングする際のタングステンワード線のパンチスルーが生じる結果となる。典型的には、実施される広範なエッチング技術は、様々な化学物質、および基板の領域をマスクするパターニングプロセスを使用して、様々な化学物質およびプロセス条件を使用して様々な深さのビアをエッチングする。このようなプロセスは、スループットを低下させ、製造プロセスの効率を低下させる。
本明細書では、酸化物-酸化物界面を劣化させることなく3D NAND構造を形成する方法および装置が提供される。この方法および装置は、階段パターンの窒化物層の露出された水平部分に窒化ケイ素(SiN)を堆積させてSiNパッドを形成することにより、タングステンワード線のパンチスルーを回避する。各SiNパッドは、定義された高さまたは厚さを有して形成され得る。窒化物層の露出された水平部分へのSiNの堆積は、階段パターンの酸化物層の露出された側壁に対して選択的である。酸化物層の露出された側壁表面に意図せずに堆積された材料は、等方性側壁除去プロセスによりエッチングされ得る。水素含有ガスまたはフッ素含有ガス、例えば、それぞれH2(ガス)またはNF3(ガス)、から生成されたエッチャントが、3D NAND構造を収容する反応プロセスチャンバに供給される。SiNの選択的堆積およびSiNの異方性エッチングは、エッチングの前に堆積が起こる逐次的プロセスとして実行されるか、または並行プロセスとして実行されてよい。階段パターンの窒化物層は、各窒化物層上に形成されたSiNパッドと共に、酸化物層に対して選択的にエッチングされ、SiNパッドの場所に対応する水平ギャップおよび空洞領域を形成する。タングステンは、水平ギャップと空洞領域を充填して、タングステンワード線と、ワード線上のランディングパッドとを形成する。ランディングパッドの各々は、エッチストップ層または保護バリアとして機能するのに十分な厚さを有して、インターコネクトがタングステンワード線を通り抜けてパンチスルーすることを防止する。
図10は、いくつかの実施形態に従って実施される方法のための作業のプロセスフロー図である。図10に示すフローの結果、作業1092においてランディングパッドが形成される。ランディングパッドの各々の厚さにより、やはり作業1092においてランディングパッドと共に形成されるワード線を介したインターコネクトのパンチスルーに対する継続的な保護がもたらされる。いくつかの実施形態では、作業1002および1004は、それぞれ、図1で先に提示した作業182および184と同一または類似であってよい。作業1006において、基板上に階段パターンが形成される。作業1006は、上述したように、図1の作業186と同一または類似であってよい。
作業1010において階段パターン上に酸化物を堆積する前に、作業1008aにおいて、高密度プラズマ化学気相成長(HDP CVD)により、階段パターンの各窒化物層における窒化物層の露出された水平表面上にSiNが方向性を有して堆積される。堆積は、酸化物層の露出された側壁表面に対して選択的に行われる。最適な作業条件下では、選択的な堆積により、酸化物層の露出された側壁表面へのSiNの堆積がない結果となる。したがって、SiN材料は、各窒化物層の露出された水平表面上に堆積されて、その上にSiNパッドが形成され、各SiNパッドは所定の高さを有し得る。
図10でのSiNの選択的堆積は、ケイ素含有反応物質および窒素含有共反応物質を使用したHDP CVDによって実施される。ケイ素含有反応物の例には、シラン(SiH4)およびジシラン(Si26)が含まれる。窒素含有共反応物の例には、分子窒素(N2)およびアンモニア(NH3)が含まれる。
作業1008aにおいて、ケイ素含有反応物および窒素含有共反応物がHDP CVDプロセス装置内の反応プロセスチャンバの中に流し込まれて反応して、堆積用のSiNが必要に応じて形成されてよい。SiNは、約100℃~約700℃、または約150℃~約400℃、例えば約400℃の好適な堆積温度で堆積されてよい。例示的なチャンバ圧力は、約1Torr~約10Torr、または約1.5Torr~約7Torrであってよい。作業1008aにおいて行われる堆積は、ターゲットである、窒化物層の露出された水平表面に対しては本質的には方向性を有するが、いくつかの実施形態では、いくらかのSiN材料が、酸化物層の露出された垂直方向の側壁表面に堆積される結果となる場合がある。作業1008aで説明した堆積と並行して、または堆積の完了後に逐次的に、のいずれかでエッチャント種を堆積チャンバの中に流し込み、作業1008bで説明し、図12~図14Bに示すように、露出された側壁表面に堆積されたSiNを等方的にエッチングしてよい。いくつかの実施形態では、エッチング用のプロセス条件は、図1の作業190に関連して先に説明した条件、または作業1008aで行われるような堆積に関して上述した条件のいずれかを含んでよい。いくつかの実施形態では、酸化物層の露出された側壁表面に堆積されたSiNは、連続膜を形成しないように、完全にまたは十分な量が除去されて、以下で更に詳細に説明するように、作業1092におけるタングステンギャップを充填する時点でのタングステンワード線層間の電気的短絡が防止される。
作業1008aおよび1008bの後、作業1010において、酸化物充填材とも呼ばれる酸化物が、窒化物層上に形成されたSiNパッドを含む階段パターン上に堆積される。作業1012において、各窒化物層から延びるSiNパッドを有する窒化物層は、酸化物層および酸化物充填材に対して選択的にエッチングされて、図6Aおよび6Bに示すものと同様に、階段パターン内の酸化物層の間に水平ギャップが作製される。水平ギャップはその後、作業1014において、ギャップ充填作業を経てタングステンで充填されて、タングステンワード線が形成され、SiNパッドはタングステンを含むランディングパッドで置換される。ランディングパッドは、図14Bに示すようにワード線上に形成され、例えばワード線140上に形成されたランディングパッド182である。この作業は、図1の作業192に関連して上述したように、任意の技術またはプロセス条件を使用して実施してよい。SiNパッドのエッチングにより作製された空洞領域は、タングステンワード線の形成中の作業1092中にタングステンで充填されて、タングステンワード線上にランディングパッドが形成される。
次いで、作業1016において、酸化物122がエッチングされてビアが形成される。例えば、ビアが、例えば図8に示したものと同様に、酸化物を通して垂直にエッチングされて、ワード線から延びるランディングパッドに接触して終わる。それに応じて、階段パターン上に形成された各ランディングパッドまで複数のビアが延びている。階段パターンの底部の近くにあるワード線と接触するより長いビアを形成することが、階段パターンの上部の近くにあるワード線と接触するより短いビアを形成するのに必要な時間に比べて、比較的長いエッチング継続時間を必要とする場合がある。それに応じて、階段パターンの底部の近くにあるワード線まで延びる長いビアを形成するのに必要な延長されたエッチング時間により、階段パターンの上部にあるワード線に接触させることが意図されたビアが、そのようなワード線を通り抜けてパンチスルーする結果となる場合がある。図10に示すプロセスは、各ワード線にランディングパッドを形成することにより、ワード線、例えば階段パターンの上層の近くに位置するワード線を介したビアのパンチスルーから保護する。各ランディングパッドは、ランディングパッドがその上に形成されているワード線を通り抜けてパンチスルーする前に、所与のビアが貫通しなければならない追加の材料を提供する。
作業1018において、タングステンがビアの中に堆積されて、酸化物充填材を貫通してランディングパッドに接触するインターコネクトを形成する。作業1020において、ワード線を介したビアのパンチスルーが生じないように保護することに加えて、ランディングパッドは、ワード線を介したインターコネクトのパンチスルーも生じないように保護する。
図10を参照して説明される方法は、図11から図15に関連して更に図示され説明される。最初に、図11を参照すると、望ましくないSiNの蓄積172が示される。蓄積172は、階段パターンの交互に設けられた窒化物層112上の領域124におけるコンフォーマルなまたは無方向性の窒化物上への窒化物の堆積から生じ得る。図11の拡大した円形領域170に示すように、蓄積物172は、堆積プロセスの不完全性に起因して形状またはサイズが不規則であり、所与の窒化物層112を越えて、領域124の下方に示す、下方にある窒化物層上の別の蓄積物172に向かって延びている。これらの不規則な堆積パターンは、交互に設けられた窒化物層112の間に位置する領域126を密集させ、領域126を酸化物122で充填することをより困難にする場合がある。更に、いくつかの実施形態では、蓄積物172における窒化物の堆積の不規則性により、所与の窒化物層112上に形成された蓄積物172と、窒化物層112上に堆積された別の堆積物172との接触(拡大領域170には示されていない)をもたらす場合があり、それにより、窒化物層112が後にタングステンワード線140で置換された場合に短絡を生じさせ、3D NANDデバイスを使用不能にする可能性があり得る。更に、露出された酸化物層の側壁に窒化物が堆積される可能性が、交互に設けられたワード線140(図11には示されず)間の短絡に寄与する場合もある。
図11に示し上述したような、窒化物層112上の蓄積物172の潜在的な接触を回避し、望ましい窒化物堆積パターンを実現するために、図12に示すように、交互に設けられた窒化物層112上の露出領域174にSiNを堆積させてSiNパッド182を形成するために、HDP CVDが行われる。
本明細書で使用されるようなHDP CVDは、プラズマ強化化学気相成長(PECVD)プロセスとは異なる。誘導結合HDP CVDプロセス条件、および結果として得られる膜は、容量結合PECVDプロセスとは異なる。例えば、本明細書に記載されるような様々なHDPリアクタは、約100mTorr未満の圧力、1011イオン/cm3を超えるプラズマ密度で動作する。HDPリアクタは、コイルについては400kHzのプラズマ周波数で、ウェハが置かれている台座については13.56MHzの周波数でプラズマを点火する。容量結合プラズマリアクタでは、13.56MHzのプラズマ周波数を使用して、シャワーヘッドまたは台座のいずれかに印加されるプラズマを生成し、400kHzはシャワーヘッドまたは台座のいずれかに印加される。HDPリアクタのイオンエネルギーは、PECVDリアクタにおけるよりも大きい場合がある。その結果、HDP CVDリアクタで堆積した膜の組成と特性は、PECVDリアクタで堆積したものとは異なる。
図10の作業1008aおよび1008bで紹介および論じたように、図12に示すように、露出された水平窒化物表面174上にSiNパッド182を形成するために堆積されるSiNは、ケイ素含有前駆体および窒素源をHDP CVDチャンバの中に流すことによって形成され得る。ケイ素含有前駆体の例は、シラン(SiH4)である。窒素源の例は、窒素ガス(N2)およびアンモニア(NH3)である。
いくつかの実施形態では、階段パターンの窒化物層112上の露出された水平表面174を目標として、その上にSiNを堆積させるためにHDP CVDを実施してよい。したがって、図12に示すように、階段パターンの露出された水平表面174の各々の上に1つのSiNパッド182が形成される。いくつかの実施形態では、HDP CVDは、SiNパッドの深さが、交互に設けられた窒化物層112の各窒化物層のおよそ半分であり、各窒化物層が約25nm~35nmの厚さであるように、SiNを堆積するために行われる。したがって、いくつかの実施形態では、SiNパッド182は、13nm~18nmの範囲の高さ176を有することになる。ただし、図12に示すように、HDP CVDによって実施される堆積プロセスにより、露出された酸化物層の側壁128に潜在的に望ましくないSiN堆積が発生する場合が依然としてあり、それにより交互に設けられたワード線140間での短絡につながる可能性がある。
酸化物層側壁128へのSiN堆積に対処するために、図12および13に示すように、領域130において側壁128に堆積されたSiNをエッチングするために等方性エッチングが実施される。いくつかの実施形態では、原子状フッ素、フッ素(F-)イオン、またはフッ素分子(F2)などのラジカルを含む、エッチャント種を形成する役割を担うプラズマ発生器に様々なガスを流してよい。そのようなガスは、水素含有ガス、例えば、H2またはNH3、およびフッ素含有ガス、例えば、F2またはNF3の一方または両方を含んでよく、これらがエッチャント種を形成し、エッチャント種は反応チャンバに送られ、酸化物層111の側壁128に堆積されたSiNを、領域130において選択的にエッチングする。エッチングは、窒化物層112の露出された水平表面174上に形成されたSiNパッド182に対して実施されて、図14A、図14B、および図15に示すように、側壁128上のSiN蓄積の一部または全てが除去され、したがって、例えば、窒化物層112がタングステン(W)で置換されてワード線140が形成される場合、ワード線140間の短絡の可能性が低減または排除される。
フッ素含有プラズマは、酸化物に対して選択的に窒化物をエッチングし、いくつかの実施形態では、側壁128に堆積されたSiN窒化物をエッチングするために使用されてよい。いくつかの実施形態では、図13に示すように、等方性水素(H2)ガスエッチングにより側壁128を除去し、表面174上に堆積されたSiNを保持してSiNパッド182を形成してよい。しかし、エッチングプロセス(例えば、フッ素(F)ベース、または水素(H)ベース)中に酸素O2ガスを含めることは、O2を適用すると露出された窒化物表面174が酸化物表面に変換され、したがってエッチング速度が低下する可能性があるため望ましくない。
エッチングプロセスを行うために使用される反応チャンバ内の温度の変動は、エッチング速度に影響を与える場合がある。エッチングプロセスは、堆積温度または堆積温度に非常に近い温度、すなわち約80°C~150°Cで行ってよく、この温度では、プロセスチャンバ温度の実質的な変動がエッチング結果に影響を及ぼす場合がある。その上、基板100にバイアスを印加して、堆積したSiN材料にエッチャント種を引き寄せてよい。
図10に示すような作業1008aおよび1008b、すなわち、SiNパッド182を形成するためのSiNの選択的堆積、および側壁128に堆積されたSiNの等方性エッチングは、作業1008bにおけるエッチングの前に作業1008aにおける堆積が行われるように逐次的に、または図10に示すように並行して実行されてよい。並行適用の場合、堆積化学物質およびエッチング化学物質を反応プロセスチャンバの中に並行して流して、窒化物層112の露出表面上にSiNを堆積させ、各窒化物層112上に1つのSiNパッド182を形成させ、一方で並行して、酸化物層111の側壁128に堆積されたSiNを選択的にエッチングしてよい。また、いくつかの実施形態では、堆積およびエッチングプロセスは1回のみ発生する場合があり、すなわち、そのようなプロセスは周期的であることを意図していない。
SiNパッド182を形成するための露出された水平表面174上へのSiNの堆積を、側壁128に堆積されたSiNの等方性エッチングと並行して行うことには様々な利点がある。例えば、合計のプロセス完了時間を短縮できる。いくつかの実施形態では、好適なエッチャント種を生成するために使用されるガスには、水素またはアンモニアが含まれる。フッ素含有ガスは通常、堆積中には使用されない。また、いくつかの実施形態では、ケイ素含有前駆体と水素含有エッチャントの量の比を制御して、側壁128に堆積されたSiNのエッチングと並行して堆積を行ってよい。NH3が、SiN形成用の窒素を供給するために使用され、ならびに水素が、側壁128に堆積されたSiNの並行エッチングのために使用されてよい。代替として、いくつかの実施形態では、フッ素含有ガスが、H2 NH3と共に反応チャンバに流入してもよい。反応チャンバ用のプロセス条件は、側壁128に堆積されたSiNのエッチングと並行して、窒化物層112の露出表面上へのSiN堆積を良好に行うように調整されてよい。
次に、図14Aおよび14Bを参照すると、窒化物層112、およびその上に堆積されたSiNパッド182はそれぞれ、基板上の酸化物に対してエッチングされる。エッチングは実質的に、図1に示すような作業190について説明したように行われて、エッチャント種が垂直スリットの中に流れ込み窒化物を選択的にエッチングするように、エッチャント種をONON積層体内のスリットを通して垂直に流すことにより窒化物層112が除去される。窒化物は、基板をリン酸(H3PO4)、または希釈フッ化水素酸(「DHF」)、またはこれら溶液の混合物に暴露させるなど、ウェットエッチングプロセスを使用して選択的にエッチングしてよい。例えば、図6Aは、窒化物のエッチングにより形成された水平ギャップ132を有する基板100の例示的な概略図を示す。
窒化物層112およびSiNパッド182に存在する窒化物の選択的エッチングに続いて、基板のギャップの中にタングステンが堆積されて、図14Bに示すように、タングステンワード線140およびランディングパッド180がそれぞれ形成される。図6Aに示すギャップ132と同様の水平ギャップがタングステンで充填されて、タングステンワード線が形成される。同様に、SiNパッド182のエッチングによって生じた空隙がタングステンで充填されて、ランディングパッド180が形成される。タングステンは、ALD、CVD、PEALD、またはPECVDなどの任意の好適な技術によって堆積されて、ワード線140またはランディングパッド182を作成してよく、これらが、「エッチストップ層」とも呼ばれる十分なストップ層を提供して、図15に示すように、インターコネクトによる、接触が形成される窒化物層の下方に位置する次の窒化物層へのパンチスルーを防止してよい。
図13に戻ると、SiNが、領域130にける酸化物層111の側壁128に堆積されている状況が示されている。したがって、上記のように窒化物層112をワード線140で置換した時点で、側壁128上のSiNもタングステンで置換される場合があり、したがって、交互に設けられたワード線140間の短絡につながる可能性がある。したがって、そのような短絡を防止するために、上記のエッチングプロセスは、図14Aおよび14Bに示すように、側壁128のSiNを除去するか、または、図13に示すように階段パターンのステップ間に連続的なSiN層が存在しないように十分な量のSiNを除去してよい。
図14Aおよび14Bは、等方性エッチングプロセスが、側壁128の領域130上の全てのSiN堆積物を除去した状況を示す。したがって、酸化物層111の側壁に堆積されたSiNをタングステンで置換することにより、交互に設けられたワード線140間の短絡のリスクが実質的に低減されるか、または完全に排除される。
全体的に示されたもの、ならびに図8および図9を参照して議論されたものと同様に、酸化物122が垂直にエッチングされてビアが形成され、その後、図15に示すようにタングステンで充填されてインターコネクト142が形成される。図1の作業194について説明したように、酸化物122は、O2、Ar、C46、C48、SF6、CHF3、およびCF4のうちのいずれか1つ以上のガスへの暴露によって実施されるドライエッチングによってエッチングされてよい。
上述し、図15に示すように、ランディングパッド182の形成により、図9に示すパンチスルーから保護される。図15に示すように、各ワード線140上の各ランディングパッド182の深さは、下方のワード線140を通り抜けてパンチスルーする前に、インターコネクト142が貫通しなければならない追加のタングステンを提供する。
それに応じて、ランディングパッド182の使用により、パンチスルー状態の可能性が大幅に最小化される。シナリオを図15に示し、このシナリオでは、等方性エッチングプロセスは、酸化物層111の側壁128に堆積されたSiNをエッチングし、したがって短絡のリスクを最小限に抑え、ランディングパッド182は、上部ワード線140を通ってビアがエッチングされることを防止し、したがってパンチスルーを防止する。それに応じて、正常な作業のために必要とされるような、ONON積層体の全体的な構造的完全性が維持される。
装置
半導体デバイスの寸法が縮小するにつれて、半導体デバイスの製造方法は汚染にますます敏感になる。例えば、半導体デバイスは、多くの場合、アルミニウムなどの金属材料で作られたチャンバ構成要素およびチャンバ壁を含むリアクタまたはチャンバ内で製造される。一部の半導体デバイス製造プロセスはプラズマを伴わないが、プラズマを実装する一部のプロセスでは、堆積中にインサイチュプラズマに暴露されたチャンバ構成要素が剥がれ剥離し、それにより、ウェハ上に堆積された膜の中に金属粒子が取り込まれるリスクが高まる場合がある。例えば、「高密度プラズマ化学気相成長」(HDP CVD)システムは、誘電体膜堆積およびギャップ充填などの集積回路用途に使用される高密度プラズマを生成する。一部のシステムは、トップドームにおける低周波無線周波数(LFRF)電力で駆動する誘導結合プラズマと、静電チャック(ESC)における高周波(HF)電力で駆動する容量結合プラズマを実装している。ガスは処理中に、イオン化されて流れ、ESC上のウェハに向かって加速される。HDP CVD処理は、堆積中に同時スパッタエッチングを実行して、高アスペクト比のトレンチのギャップを充填することを可能にし得る。HDP CVDシステムの構成要素は、処理中に使用されるプラズマに暴露された場合、剥がれおよび剥離の影響を受けやすくなる場合がある。
上記のとおり、本明細書で使用される場合、HDP CVDはPECVDとは異なる。HDP CVDプロセス条件、および結果として得られる膜は、容量結合PECVDプロセスとは異なる。例えば、本明細書に記載されるような様々なHDPリアクタは、約100mTorr未満の圧力、1011イオン/cm3を超えるプラズマ密度で動作する。HDPリアクタは、コイルについては400kHzのプラズマ周波数で、ウェハが置かれている台座については13.56MHzの周波数でプラズマを点火する。容量結合プラズマリアクタでは、13.56MHzのプラズマ周波数を使用して、シャワーヘッドまたは台座のいずれかに印加されるプラズマを生成し、400kHzはシャワーヘッドまたは台座のいずれかに印加される。HDPリアクタのイオンエネルギーは、PECVDリアクタにおけるよりも大きい場合がある。その結果、HDP CVDリアクタで堆積した膜の組成と特性は、PECVDリアクタで堆積したものとは異なる。
開示された実施形態は、上述したような図16に示すチャンバなどのチャンバ内で実施されてよい。図18には高密度プラズマ化学気相成長(HDP CVD)チャンバが示されているが、本明細書に記載される様々な実施形態では、膜を堆積するための他のリアクタまたはツールを使用してよい。
図16は、開示された実施形態を実施するのに好適なHDP CVDリアクタの例の概略図である。リアクタ1601は、リアクタの他の構成要素を取り囲み、プラズマを収容する役割を担うプロセスチャンバ1603を含む。いくつかの実現形態では、プロセスチャンバ壁は、アルミニウム、酸化アルミニウム、または他の好適な材料で作られている。図16に示す実施形態は、2つのプラズマ源、すなわち、上部無線周波数(RF)コイル1605、および側面RFコイル1607を有する。上部RFコイル1605は中間周波数、すなわちMFRFコイルであり、側面RFコイル1607は低周波数、すなわちLFRFコイルである。図16に示す実施形態では、MFRF周波数は430~470kHzであり、LFRF周波数は340~370kHzであり得る。しかし、開示された実施形態は、2つのプラズマ源、またはRFプラズマ源を有する反応チャンバでの作業に限定されない。任意の好適なプラズマ源を使用してよい。
リアクタ内では、ウェハ台座1609がワークピース1611を支持する。ワークピース1611は、PECまたはウェハであってよい。ウェハ台座1609は、ウェハを所定位置に保持(またはクランプ)するためのチャック(クランプと呼ばれることもある)を含む。チャックは、様々なプロセス中に台座上の物体を固定するために使用されてよい。チャックは、ESC、機械式チャック、または利用可能な様々な他の種類のチャックであってよい。ガスまたは熱伝達液体をウェハ台座1609に供給するためのライン1613を含むガス供給サブシステムは、ワークピース1611の温度を制御する。ウェハ台座1609およびガス供給サブシステムは、ウェハ温度を適切に維持することを促進できる。
HFRF源1615の高周波RFは、様々なプロセス中にワークピース1611に電気的にバイアスし、帯電したガス種をワークピース1611上に引き寄せる役割を担う。電源1615からの電気エネルギーは、例えば、電極または容量結合を介してワークピース1611に結合される。ワークピース1611に印加されるバイアスは、RFバイアスでなくてよいことに留意されたい。他の周波数およびDCバイアスも使用してよい。
プロセスガスは、1つ以上の入口1617を介して導入される。ガスは予め混合されていてもいなくてもよい。プロセスガスは、オリフィスを含むガス供給入口メカニズムを通して導入されてよい。いくつかの実施形態では、少なくともいくつかのオリフィスは、ワークピース1611の露出された表面と鋭角で交差する噴射軸に沿ってプロセスガスを向ける。更に、プロセスガスは、ガスを台座に向ける場合も向けない場合もある1次ガスリング1621から導入されてよい。いくつかの実施形態では、プロセスガスは、1次ガスリング1621に加えて1つ以上のガスリング(図示せず)から導入されてよい。インジェクタを1次ガスリング1621に接続して、ガスまたはガス混合物の少なくとも一部をチャンバの中に、かつ台座の方に向けてよい。インジェクタ、ガスリング、またはプロセスガスをウェハに向けるための他のメカニズムが、いくつかの実施形態では使用されなくてよいことに留意されたい。チャンバに入るプロセスガスによって引き起こされるソニックフロント(sonic front)自体が、ワークピース1611への方向を含む全ての方向にガスを急速に分散させることになる。プロセスガスは、出口1622を介してチャンバ1603を出る。真空ポンプ(例えば、ターボ分子ポンプ)は典型的には、プロセスガスを引き出し、リアクタ内を好適な低圧に維持する。リアクタ1601は、コントローラ1690を使用して制御されてよい。コントローラ1690は、本明細書で開示される様々な作業を実行するための機械可読命令を含んでよい。コントローラ1690に関する更なる説明を以下に提供する。
図16に示すように、リアクタ1601は、開示された実施形態に従って作業を制御するためのコントローラ1690を含む。コントローラ1690は、入口1613を介した台座1609へのガス流の流量および圧力、台座1609の移動、静電チャック(ESC)のバイアス電力、MFRFコイル1605およびMFRFコイル1607のプラズマ電力、台座温度、チャンバ圧力、プロセスチャンバ1603へのガス流、チャンバ1603の内への、または外へのワークピース1611の搬送、および他の作業、などの様々なパラメータを制御するために使用されてよい。
いくつかの実施形態では、図16に示すリアクタ1601は、1つ以上のウェハを処理するためのツールの一部である。1つ以上のリアクタを含むツールの例を図17に示す。図17は、開示された実施形態に従って多段階堆積プロセスを実施するのに好適なプラズマ処理システムのブロック図である。システム1700は、Fremont,CaliforniaにあるLam Research Corporationから入手可能なSPEED(商標)プラットフォームで使用されるウェハ搬送システム(WTS)などの搬送モジュール1703を含む。搬送モジュール1703は、清浄な加圧環境を提供して、様々な処理段階の間を移動するにつれて処理されるウェハなどのワークピースの汚染のリスクを最小限に抑える。搬送モジュール1703上には、1つ以上のHDP CVDモジュールまたはプロセスチャンバ1705、例えば、Fremont,CaliforniaにあるLam Research Corporationから入手可能なLam SPEED(商標)リアクタ、が取り付けられている。エッチングモジュール1707は、Lam原子層除去(ALR)リアクタ、またはKiyo(商標)リアクタであってよい。これらのエッチングリアクタは、堆積リアクタと同じまたは別個のプラットフォームに取り付けられてよい。
システムは、PECVDまたは原子層堆積(ALD)プロセスを実施することができるチャンバ1709を任意選択的に含んでよい。チャンバ1709は、複数のステーション1711、1713、1715、および1717を含んでよく、これらは、堆積もしくは除去作業、または保護用静電チャックカバー(PEC)洗浄作業を逐次的に実施してよい。システム1700はまた、処理の前および後にウェハが格納される、1つ以上(この場合は2つ)のウェハソースモジュール1701を含む。搬送モジュール1703内のデバイス(一般にはロボットアームユニット)は、搬送モジュール1703に搭載されたモジュール間でウェハを移動させる。
ウェハは、ロボットアームによって、HDP CVDリアクタ1705および/またはプラズマエッチングリアクタ1707の間を、それぞれ堆積およびエッチバック処理のために搬送される。ロボットアームはまた、コンディショニング層堆積モジュール1709と他のチャンバとの間でウェハを搬送してよい。一実施形態では、この用途において、単一のエッチングリアクタが2つのSPEED(商標)堆積モジュール1705をサポートでき、1時間あたり約15~16ウェハ(wph)の高スループットを有する。他の実施形態では、2つのエッチングリアクタ1707が、1つ以上のSPEED(商標)堆積モジュール1705をサポートしてよい。
開示された実施形態は、プラズマエッチングチャンバなしで実施されてよい。例えば、単一のチャンバを、HDP CVD堆積および反応性プラズマエッチングの両方のために構成してよい。例えば、Lam SPEED(商標) HDP-CVDリアクタは、個別のリアクタを使用する場合と同様のスループットで、コンディショニング、堆積、およびプラズマエッチングが可能である。本明細書で提供される詳細およびパラメータを想定すると、単一のチャンバが、例えば、プラズマリアクタと、例えば、堆積(HDP CVD)および反応性プラズマエッチングのための、本明細書に記載された様々なプラズマ源(例えば、インサイチュまたはダウンストリームプラズマ源)などの装置とで構成されてよい。
図17はまた、プロセスツール1700のプロセス条件およびハードウェア状態を制御するために使用されるシステムコントローラ1750の実施形態を示す。システムコントローラ1750は、上述のプロセスを実施するためのプログラム命令を提供してよい。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などの様々なプロセスパラメータを制御してよい。命令は、本明細書に記載の様々な実施形態に従って、バッチでウェハを処理する前に、チャンバをコンディショニングし、PEC上で堆積作業を実施するためのパラメータを制御してよい。
いくつかの実現形態では、コントローラ1750は、上記の例の一部であり得るシステムの一部である。このようなシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または特定の処理構成要素(ウェハ台座、ガスフローシステムなど)を含む、半導体処理装置を備えることができる。これらシステムを、半導体ウェハまたは基板の処理前、処理中、および処理後の作業を制御するための電子機器に組み込んでよい。電子機器は「コントローラ」と呼ばれてよく、システムの様々な構成要素または副部品を制御してよい。コントローラ1750は、処理要件および/またはシステムの種類に応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および作業設定、特定のシステムと接続しているかまたはインタフェースしているツールおよび他の搬送ツールならびに/またはロードロックに対するウェハの搬出入、を含む、本明細書に開示されるプロセスのいずれをも制御するようにプログラムされてよい。
大まかに言って、コントローラ1750は、様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有し、命令を受信し、命令を発行し、作業を制御し、クリーニング作業を有効にし、エンドポイント測定を有効にするような電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つ以上のマイクロプロセッサ、またはプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラ、を含んでよい。プログラム命令は、様々な個別設定(またはプログラムファイル)の形態でコントローラに伝達される命令であって、特定のプロセスを半導体ウェハ上でもしくは半導体ウェハ用に、またはシステムに対して実行するための作業パラメータを定義してよい。いくつかの実施形態では、作業パラメータは、1つ以上の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウェハダイの作製時に、1つ以上の処理ステップを実現するために、プロセスエンジニアによって定義されるレシピの一部であってよい。
いくつかの実施形態では、システムコントローラ1750は、プロセスツール1700の動作の全てを制御する。システムコントローラ1750は、1つ以上のメモリデバイス1756、1つ以上の大容量記憶デバイス1754、および1つ以上のプロセッサ1752を含んでよい。プロセッサ1752は、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続部、ステッパモータコントローラボードなどを含んでよい。システムコントローラ1750は、大容量記憶デバイス1754に格納され、メモリデバイス1756中に読み込まれ、プロセッサ1752で実行される、システム制御ソフトウェア1758を実行する。代替として、制御ロジックは、コントローラ1750内にハードコードされていてよい。これら目的には、特定用途向け集積回路、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、またはFPGA)などを使用してよい。以下の説明では、「ソフトウェア」または「コード」が使用される場合はいつでも、機能的に同等のハードコードされたロジックが代わりに使用されてよい。システム制御ソフトウェア1758は、プロセスチャンバ内外へのPECの搬送、プロセスチャンバ内外へのウェハの搬送、ガスのタイミング、ガスの混合、ガス流量、チャンバおよび/またはステーション圧力、背面ガス流圧力、チャンバおよび/またはリアクタ温度、ウェハ温度、バイアス電力、目標電力レベル、RF電力レベル、台座、チャックおよび/またはサセプタ位置、ならびにプロセスツール1700によって実施される特定のプロセスの他のパラメータ、を制御するための命令を含んでよい。システム制御ソフトウェア1758は、任意の好適な方法で構成されてよい。例えば、様々なプロセスツールプロセスを実行するために必要なプロセスツール構成要素の作業を制御するために、様々なプロセスツール構成要素のサブルーチンまたは制御オブジェクトが記述されてよい。システム制御ソフトウェア1758は、任意の好適なコンピュータ可読プログラミング言語でコーディングされてよい。
いくつかの実現形態では、コントローラ1750は、システムに組み込まれた、もしくは結合された、またはシステムにネットワーク接続された、またはこれらの組み合わせであるコンピュータの一部であるか、またはそのコンピュータに結合されていてよい。例えば、コントローラ1750は「クラウド」内にあるか、またはファブホストコンピュータシステムの全てもしくは一部であってよく、それによりウェハ処理のリモートアクセスが可能になる。コンピュータは、システムへのリモートアクセスを可能にして、製造作業の現在の進行状況を監視し、過去の製造作業の履歴を調査し、複数の製造作業から傾向または性能の指標を調査して、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始する。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含み得るネットワークを経由して、プロセスレシピをシステムに提供できる。リモートコンピュータは、パラメータおよび/または設定の入力もしくはプログラミングを可能にするユーザインタフェースを含んでよく、パラメータおよび/または設定は次に、リモートコンピュータからシステムに通信される。いくつかの例では、コントローラ1750は、1つ以上の作業中に実行される各処理ステップのためのパラメータを指定するデータ形式の命令を受信する。パラメータは、実行されるプロセスの種類、およびコントローラがインタフェースするか、または制御するように構成されているツールの種類に固有のものであってよいことを理解されたい。したがって、上述のように、コントローラ1750は、例えば、互いにネットワーク化され、本明細書に記載のプロセスや制御などの共通の目的を目指している1つ以上の個別のコントローラを含むことによって、分散されてよい。そのような目的のための分散コントローラの例は、遠隔に位置する(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)1つ以上の集積回路と通信状態にあるチャンバ上の1つ以上の集積回路であってよく、これらが組み合わされてチャンバでのプロセスを制御する。
いくつかの実施形態では、システム制御ソフトウェア1758は、上述の様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含んでよい。いくつかの実施形態では、システムコントローラ1750に関連付けられた大容量記憶デバイス1754および/またはメモリデバイス1756に格納された他のコンピュータソフトウェアおよび/またはプログラムを使用してよい。この目的のためのプログラムまたはプログラムの一部の例には、ウェハ位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒーター制御プログラム、およびプラズマ制御プログラムが含まれる。
ウェハ位置合わせプログラムは、ウェハまたはPECを台座1718にロードし、ウェハまたはPECとプロセスツール1700の他の部分との間の間隔を制御するために使用されるプロセスツール構成要素用のプログラムコードを含んでよい。プロセスガス制御プログラムは、ガス組成(例えば、本明細書に記載されたような、コンディショニングプロセスガス、堆積ガス、ヘリウムガス、または裏面フロー用の他のガス、キャリアガスなど)および流量を制御するためのコード、ならびに任意選択的に、プロセスステーション内の圧力を安定させるために、堆積前にガスを1つ以上のプロセスステーションの中に流し込むためのコードを含んでよい。圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットルバルブ、プロセスステーションの中に入るガス流量、コンディショニング作業中にPECの背面に導入されるガスの圧力、を調節することによりプロセスステーション内の圧力を制御するためのコードを含んでよい。
ヒーター制御プログラムは、ワークピースを加熱するために使用される加熱ユニットへの電流を制御するためのコードを含んでよい。代替として、ヒーター制御プログラムは、熱伝達ガス(例えばヘリウム)のウェハへの供給を制御してよい。プラズマ制御プログラムは、本明細書の実施形態に従ってプロセス電極に印加されるRF電力レベル、および1つ以上のプロセスステーションにおけるバイアスを設定するためのコードを含んでよい。圧力制御プログラムは、本明細書の実施形態に従って反応チャンバ内の圧力を維持するためのコードを含んでよい。
いくつかの実施形態では、システムコントローラ1750に関連付けられたユーザインタフェースがあってよい。ユーザインタフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにユーザ入力デバイス、例えば、ポインティングデバイス、キーボード、タッチスクリーン、マイク、を含んでよい。
いくつかの実施形態では、システムコントローラ1750によって調整されるパラメータは、プロセス条件に関係してよい。非限定的な例には、プロセスガスの組成と流量、温度、圧力、プラズマ条件(例えばRFバイアス電力レベル)、圧力、温度などが含まれる。これらのパラメータは、ユーザインタフェースを利用して入力してよいレシピの形式でユーザに提供されてよい。
プロセスを監視するための信号は、システムコントローラ1750のアナログおよび/またはデジタル入力接続部によって、様々なプロセスツールセンサから提供されてよい。プロセスを制御するための信号は、プロセスツール300のアナログおよびデジタル出力接続部に出力されてよい。監視され得るプロセスツールセンサの非限定的な例には、マスフローコントローラ、圧力センサ(例えばマノメータ)、熱電対などが含まれる。プロセス条件を維持するために、適切にプログラムされたフィードバックおよび制御アルゴリズムを、これらセンサからのデータと共に使用してよい。
限定するわけではないが、例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、クリーンチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相成長(PVD)チャンバまたはモジュール、化学気相成長(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、および半導体ウェハの作製および/または製造に関連するかもしくは使用されてよい任意の他の半導体処理システム、を含んでよい。
上記のとおり、ツールによって実行されるプロセスステップに応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に配置されたツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/またはロードポートとの間でウェハ容器を搬出入する材料搬送に使用されるツール、のうちの1つ以上と通信し得る。
結論
前述の実施形態は、理解を明瞭にする目的で、ある程度詳細に説明したが、添付の特許請求の範囲内において、特定の変更および修正を実施してよいことは明らかであろう。本実施形態のプロセス、システム、および装置を実現する多数の代替方法があることに留意されたい。それに応じて、本実施形態は、例示的であって限定的と見なされるべきではなく、実施形態は本明細書で提示される詳細に限定されるべきではない。本開示は以下の適用例を含む。
[適用例1]
3D NAND構造を製造するために半導体基板を処理する方法であって、前記方法は、
階段パターンで構成された、交互に設けられた酸化物層および窒化物層を有する基板を提供する工程であって、前記窒化物層の各々は露出された水平表面を有する、工程と、
前記階段パターン上に酸化物充填材を堆積する前に、高密度プラズマ化学気相成長(HDP CVD)によって、前記酸化物層および前記窒化物層の両方の上に窒化ケイ素(SiN)を堆積させる工程と、を含み、
前記SiNは、前記窒化物層の前記露出された水平表面上に、各層において、酸化物側壁表面に対して選択的に堆積されて、SiNパッドが形成される、方法。
[適用例2]
適用例1に記載の方法であって、HDP CVDによるSiNの前記堆積させる工程は、
前記窒化物層の前記露出された水平表面上にSiNを堆積して前記SiNパッドを形成する工程、および、前記階段パターンの前記酸化物層の側壁に堆積されたSiNを、前記SiNパッドに対して選択的にエッチングする工程、を同時に実施する工程を含む、方法。
[適用例3]
適用例1に記載の方法であって、
前記窒化物層の前記露出された水平表面を各層において、堆積化学物質に暴露させて前記SiNパッドを形成する工程と、
前記階段パターンの前記酸化物層の側壁をエッチャントに暴露させて、前記側壁に堆積されたSiNを、前記SiNパッドに対して選択的にエッチングする工程と、を更に含む方法。
[適用例4]
適用例3に記載の方法であって、前記窒化物層の前記露出された水平表面は、各層において、前記階段パターンの前記酸化物層が前記エッチャントに暴露される前に、前記堆積化学物質に暴露される、方法。
[適用例5]
適用例3に記載の方法であって、前記エッチャントは、水素ガス(H 2 )、フッ素(F)含有ガス、またはそれらの組み合わせのうちの1つから生成されるプラズマ種を含む、方法。
[適用例6]
適用例3に記載の方法であって、前記SiNの堆積から、前記酸化物層の前記側壁に堆積された前記SiNの選択的エッチングへの移行は、前記基板に印加されるバイアスを低下させることを含む、方法。
[適用例7]
適用例1に記載の方法であって、HDP CVDによりSiNを堆積させる工程は、ケイ素含有反応物および窒素含有反応物をHDP CVDチャンバに流すことを含む、方法。
[適用例8]
適用例7に記載の方法であって、HDP CVDによりSiNを堆積させる工程は、水素ガス(H 2 )を前記HDP CVDチャンバに流すことを更に含む、方法。
[適用例9]
適用例1に記載の方法であって、
前記窒化物層をタングステンワード線で置換する工程、および、
前記SiNパッドをタングステンランディングパッドで置換する工程、を更に含む方法。
[適用例10]
適用例9に記載の方法であって、
HDP CVDにより前記酸化物層および前記窒化物層の両方の上にSiNを堆積した後、前記階段パターン上に前記酸化物充填材を堆積する工程と、
前記酸化物充填材をエッチングして、前記酸化物充填材内に、前記タングステンワード線まで延びる垂直ビアを形成する工程であって、前記酸化物充填材は、前記タングステンランディングパッドに対して選択的にエッチングされる、工程と、
前記ビア内にタングステンを堆積させて、前記タングステンワード線まで延びるタングステンインターコネクトを形成する工程と、を更に含む方法。
[適用例11]
適用例10に記載の方法であって、
1つ以上の前記ビアによる1つ以上の前記タングステンワード線のパンチスルーが生じないように保護するために、前記タングステンランディングパッドを位置決めする工程を更に含む、方法。
[適用例12]
適用例1に記載の方法であって、
前記階段パターンの前記酸化物層の側壁に堆積されたSiNを、水平表面上のSiNの堆積物を実質的に損なわないようにしながら、選択的にエッチングする工程を更に含む、方法。
[適用例13]
適用例1に記載の方法であって、前記交互に設けられた酸化物層および窒化物層の各々の厚さが約10nm~約100nmである、方法。
[適用例14]
適用例10に記載の方法であって、前記ビアは変動する深さを有する、方法。
[適用例15]
方法であって、
酸化物層の間に窒化物層を挿入させて階段構造が形成された基板を提供する工程であって、前記窒化物層の部分は露出されている、工程と、
SiNを、高密度プラズマ化学気相成長(HDP CVD)によってケイ素含有反応物および窒素含有反応物から、前記基板にバイアスを印加しながら、前記窒化物層の露出された前記部分の平坦面上に堆積する工程と、
前記階段構造の前記酸化物層の側壁に堆積された材料を、前記側壁に堆積された前記材料を前記窒化物層の露出された前記部分の前記平坦面上の前記材料に対して選択的にエッチングすることにより、除去する工程と、を含む方法。
[適用例16]
適用例15に記載の方法であって、前記ケイ素含有反応物および窒素含有反応物の前記堆積は異方性である、方法。
[適用例17]
適用例15に記載の方法であって、前記側壁に堆積された前記材料をエッチングすることは、水素ガス(H 2 )、フッ素(F)含有ガス、またはそれらの組み合わせから生成されたプラズマ種に前記側壁を暴露させることを含む、方法。
[適用例18]
適用例15に記載の方法であって、前記側壁に堆積された前記材料の前記堆積および前記除去が同時に実行される、方法。
[適用例19]
適用例15に記載の方法であって、前記側壁に堆積された前記材料の前記堆積および前記除去が逐次的に実行される、方法。
[適用例20]
基板を処理するための装置であって、前記装置は、
内部にプロセスチャンバを収容するリアクタと、
前記プロセスチャンバと流体連通し結合しているプラズマ源と、
前記プロセスチャンバ内に配置された基板台座であって、前記基板台座と結合された電極によって供給される電気エネルギーを受け取る、基板台座と、
前記プロセスチャンバの中に通じる1つ以上のガス入口であって、前記ガス入口によってプロセスガスが前記プロセスチャンバの中に導入される、ガス入口と、前記ガス入口に関連付けられた流量制御ハードウェアと、
前記リアクタを制御するように構成されたコントローラであって、前記コントローラはプロセッサおよびメモリを有し、前記プロセッサおよび前記メモリは互いに通信可能に接続されており、
前記プロセッサは、少なくとも、前記流量制御ハードウェアに動作可能に接続され、
前記メモリは、前記プロセッサを制御するためのコンピュータ実行可能命令を格納して、
(a)前記プロセスガスを前記プロセスチャンバに注入する工程であって、前記プロセスガスは、ケイ素含有反応物、窒素含有反応物、および、水素ガス(H 2 )を含み、前記水素ガスと前記ケイ素含有反応物との比は少なくとも1:2である、工程と、
(b)前記(a)の間に前記電極にバイアスを印加する工程と、
(c)前記(a)の後に、前記電極に印加された前記バイアスを低下させる工程と、
(d)前記(c)の間に、水素(H 2 )ガスまたは(F)フッ素ガスを注入する工程と、
によって前記流量制御ハードウェアを少なくとも制御する、コントローラと、
を備える装置。

Claims (13)

  1. 3D NAND構造を製造するために半導体基板を処理する方法であって、前記方法は、
    階段パターンで構成された、交互に設けられた酸化物層および窒化物層を有する基板を提供する工程であって、前記窒化物層の各々は露出された水平表面を有する、工程と、
    前記階段パターン上に酸化物充填材を堆積する前に、高密度プラズマ化学気相成長(HDP CVD)によって、前記酸化物層および前記窒化物層の両方の上に窒化ケイ素(SiN)を堆積させる工程であって、前記窒化物層の前記露出された水平表面を各層において、堆積化学物質に暴露させてSiNパッドを形成することによって、前記SiNは、前記窒化物層の前記露出された水平表面上に、各層において、酸化物側壁表面に対して選択的に堆積されて、前記SiNパッドが形成される、工程と、
    前記階段パターンの前記酸化物層の側壁をエッチャントに暴露させて、前記側壁に堆積されたSiNを、前記SiNパッドに対して選択的にエッチングする工程と、
    を含む方法。
  2. 請求項1に記載の方法であって、HDP CVDによるSiNの前記堆積させる工程は、
    前記窒化物層の前記露出された水平表面上にSiNを堆積して前記SiNパッドを形成する工程、および、前記階段パターンの前記酸化物層の側壁に堆積されたSiNを、前記SiNパッドに対して選択的にエッチングする工程、を同時に実施する工程を含む、方法。
  3. 請求項1に記載の方法であって、前記窒化物層の前記露出された水平表面は、各層において、前記階段パターンの前記酸化物層が前記エッチャントに暴露される前に、前記堆積化学物質に暴露される、方法。
  4. 請求項1に記載の方法であって、前記エッチャントは、水素ガス(H2)、フッ素(F)含有ガス、またはそれらの組み合わせのうちの1つから生成されるプラズマ種を含む、方法。
  5. 請求項1に記載の方法であって、前記SiNの堆積から、前記酸化物層の前記側壁に堆積された前記SiNの選択的エッチングへの移行は、前記基板に印加されるバイアスを低下させることを含む、方法。
  6. 請求項1に記載の方法であって、HDP CVDによりSiNを堆積させる工程は、ケイ素含有反応物および窒素含有反応物をHDP CVDチャンバに流すことを含む、方法。
  7. 請求項6に記載の方法であって、HDP CVDによりSiNを堆積させる工程は、水素ガス(H2)を前記HDP CVDチャンバに流すことを更に含む、方法。
  8. 請求項1に記載の方法であって、
    前記窒化物層をタングステンワード線で置換する工程、および、
    前記SiNパッドをタングステンランディングパッドで置換する工程、を更に含む方法。
  9. 請求項8に記載の方法であって、
    HDP CVDにより前記酸化物層および前記窒化物層の両方の上にSiNを堆積した後、前記階段パターン上に前記酸化物充填材を堆積する工程と、
    前記酸化物充填材をエッチングして、前記酸化物充填材内に、前記タングステンワード線まで延びる垂直な複数のビアを形成する工程であって、前記酸化物充填材は、前記タングステンランディングパッドに対して選択的にエッチングされる、工程と、
    前記複数のビア内にタングステンを堆積させて、前記タングステンワード線まで延びるタングステンインターコネクトを形成する工程と、を更に含む方法。
  10. 請求項9に記載の方法であって、
    前記複数のビアのうちの1つ以上のビアによる1つ以上の前記タングステンワード線のパンチスルーが生じないように保護するために、前記タングステンランディングパッドを位置決めする工程を更に含む、方法。
  11. 請求項1に記載の方法であって、
    前記階段パターンの前記酸化物層の側壁に堆積されたSiNを、水平表面上のSiNの堆積物を実質的に損なわないようにしながら、選択的にエッチングする工程を更に含む、方法。
  12. 請求項1に記載の方法であって、前記交互に設けられた酸化物層および窒化物層の各々の厚さが約10nm~約100nmである、方法。
  13. 請求項9に記載の方法であって、前記複数のビアの深さは互いに異なる、方法。
JP2020505773A 2017-08-04 2018-08-01 水平表面上におけるSiNの選択的堆積 Active JP7344867B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762541262P 2017-08-04 2017-08-04
US62/541,262 2017-08-04
PCT/US2018/044800 WO2019028136A1 (en) 2017-08-04 2018-08-01 SELECTIVE DEPOSITION OF SILICON NITRIDE ON HORIZONTAL SURFACES

Publications (2)

Publication Number Publication Date
JP2020529736A JP2020529736A (ja) 2020-10-08
JP7344867B2 true JP7344867B2 (ja) 2023-09-14

Family

ID=65229828

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020505773A Active JP7344867B2 (ja) 2017-08-04 2018-08-01 水平表面上におけるSiNの選択的堆積

Country Status (6)

Country Link
US (1) US10615169B2 (ja)
JP (1) JP7344867B2 (ja)
KR (1) KR20200028490A (ja)
CN (1) CN110998790A (ja)
TW (1) TW201921429A (ja)
WO (1) WO2019028136A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019161094A (ja) 2018-03-15 2019-09-19 東芝メモリ株式会社 半導体メモリ
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
JP7286780B2 (ja) * 2019-02-14 2023-06-05 インテグリス・インコーポレーテッド 窒化ケイ素の選択的堆積
KR20200139526A (ko) 2019-06-04 2020-12-14 삼성전자주식회사 수직형 메모리 장치
US11380697B2 (en) * 2020-02-25 2022-07-05 Tokyo Electron Limited Raised pad formations for contacts in three-dimensional structures on microelectronic workpieces
KR20210117157A (ko) * 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP2021150392A (ja) * 2020-03-17 2021-09-27 キオクシア株式会社 半導体装置及びその製造方法
US11264404B2 (en) 2020-06-17 2022-03-01 Micron Technology, Inc. Microelectronic devices including a varying tier pitch, and related electronic systems and methods
US11398486B2 (en) * 2020-06-17 2022-07-26 Micron Technology, Inc. Microelectronic devices with tier stacks with varied tier thicknesses, and related methods and systems
US11715692B2 (en) 2020-08-11 2023-08-01 Micron Technology, Inc. Microelectronic devices including conductive rails, and related methods
US11456208B2 (en) 2020-08-11 2022-09-27 Micron Technology, Inc. Methods of forming apparatuses including air gaps between conductive lines and related apparatuses, memory devices, and electronic systems
US11574870B2 (en) 2020-08-11 2023-02-07 Micron Technology, Inc. Microelectronic devices including conductive structures, and related methods
CN114373677A (zh) * 2020-10-14 2022-04-19 长鑫存储技术有限公司 半导体结构的制备工艺及半导体结构
CN112420717A (zh) * 2020-11-18 2021-02-26 长江存储科技有限责任公司 三维存储器及其制造方法
KR20220113048A (ko) * 2021-02-05 2022-08-12 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조 방법
US11594495B2 (en) * 2021-03-23 2023-02-28 Micron Technology, Inc. Microelectronic devices including conductive levels having varying compositions, and related memory devices, electronic systems, and methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008047620A (ja) 2006-08-11 2008-02-28 Mitsubishi Heavy Ind Ltd プラズマ処理方法、及び、プラズマ処理装置
JP2008182199A (ja) 2006-12-07 2008-08-07 Applied Materials Inc 誘電ギャップ充填用のマルチステップ堆積・エッチング・堆積(dep−etch−dep)高密度プラズマ化学気相堆積プロセス
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
US20150287710A1 (en) 2014-04-08 2015-10-08 Tae-Hwan YUN Semiconductor devices having conductive pads and methods of fabricating the same
US20160276150A1 (en) 2015-03-17 2016-09-22 Applied Materials, Inc. Pulsed plasma for film deposition

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
JP4376715B2 (ja) 2004-07-16 2009-12-02 三洋電機株式会社 半導体装置の製造方法
US7271110B2 (en) * 2005-01-05 2007-09-18 Chartered Semiconductor Manufacturing, Ltd. High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability
US7211525B1 (en) * 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
JP5394270B2 (ja) 2010-01-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US20110207323A1 (en) 2010-02-25 2011-08-25 Robert Ditizio Method of forming and patterning conformal insulation layer in vias and etched structures
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US8836137B2 (en) 2012-04-19 2014-09-16 Macronix International Co., Ltd. Method for creating a 3D stacked multichip module
JP2013055136A (ja) 2011-09-01 2013-03-21 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US20140187045A1 (en) 2013-01-02 2014-07-03 Applied Materials, Inc. Silicon nitride gapfill implementing high density plasma
US8928149B2 (en) 2013-03-12 2015-01-06 Macronix International Co., Ltd. Interlayer conductor and method for forming
TW201522696A (zh) * 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
JP6267953B2 (ja) 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
KR20150104817A (ko) 2014-03-06 2015-09-16 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR102235046B1 (ko) 2014-07-02 2021-04-05 삼성전자주식회사 3차원 반도체 메모리 장치
US9362186B2 (en) 2014-07-18 2016-06-07 Applied Materials, Inc. Polishing with eddy current feed meaurement prior to deposition of conductive layer
US9391086B1 (en) 2015-02-23 2016-07-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US20160268286A1 (en) 2015-03-11 2016-09-15 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
JP6498022B2 (ja) * 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US10115601B2 (en) 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10504901B2 (en) * 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008047620A (ja) 2006-08-11 2008-02-28 Mitsubishi Heavy Ind Ltd プラズマ処理方法、及び、プラズマ処理装置
JP2008182199A (ja) 2006-12-07 2008-08-07 Applied Materials Inc 誘電ギャップ充填用のマルチステップ堆積・エッチング・堆積(dep−etch−dep)高密度プラズマ化学気相堆積プロセス
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
US20150287710A1 (en) 2014-04-08 2015-10-08 Tae-Hwan YUN Semiconductor devices having conductive pads and methods of fabricating the same
US20160276150A1 (en) 2015-03-17 2016-09-22 Applied Materials, Inc. Pulsed plasma for film deposition

Also Published As

Publication number Publication date
KR20200028490A (ko) 2020-03-16
CN110998790A (zh) 2020-04-10
WO2019028136A1 (en) 2019-02-07
TW201921429A (zh) 2019-06-01
US20190043876A1 (en) 2019-02-07
JP2020529736A (ja) 2020-10-08
US10615169B2 (en) 2020-04-07

Similar Documents

Publication Publication Date Title
JP7344867B2 (ja) 水平表面上におけるSiNの選択的堆積
TWI828619B (zh) 半導體裝置製造中之氧化錫膜
US11011388B2 (en) Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
US9991128B2 (en) Atomic layer etching in continuous plasma
KR102653066B1 (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
US11742212B2 (en) Directional deposition in etch chamber
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask
TW201740459A (zh) 硬遮罩之自我限制平坦化加工
US20210017643A1 (en) Chamfer-less via integration scheme
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
US10224235B2 (en) Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US20220181141A1 (en) Etch stop layer
KR20210011493A (ko) 고 종횡비 구조체들의 효율적인 세정 및 에칭
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
US20220238349A1 (en) Polymerization protective liner for reactive ion etch in patterning
WO2024020152A1 (en) High aspect ratio carbon etch with simulated bosch process

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210802

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230117

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230414

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230706

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230904

R150 Certificate of patent or registration of utility model

Ref document number: 7344867

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150