TW201921429A - 在水平表面上氮化矽之選擇性沉積 - Google Patents

在水平表面上氮化矽之選擇性沉積

Info

Publication number
TW201921429A
TW201921429A TW107126785A TW107126785A TW201921429A TW 201921429 A TW201921429 A TW 201921429A TW 107126785 A TW107126785 A TW 107126785A TW 107126785 A TW107126785 A TW 107126785A TW 201921429 A TW201921429 A TW 201921429A
Authority
TW
Taiwan
Prior art keywords
sin
deposited
oxide
processing
nitride
Prior art date
Application number
TW107126785A
Other languages
English (en)
Inventor
史貴凡迪 巴頓 J 凡
奧文尼斯 古普塔
克林帕 派崔克 A 凡
朴大鎭
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201921429A publication Critical patent/TW201921429A/zh

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0408Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0466Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells with charge storage in an insulating layer, e.g. metal-nitride-oxide-silicon [MNOS], silicon-oxide-nitride-oxide-silicon [SONOS]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Non-Volatile Memory (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供藉由高密度電漿化學氣相沉積(HDP CVD)選擇性地沉積氮化矽(SiN)的方法及設備,以在具有交替的氧化物及氮化物層的3D NAND階梯結構中在氮化物層的曝露平坦表面上形成SiN襯墊。在一些實施例中,執行選擇性蝕刻以移除階梯結構之氧化物層之側壁上的不期望SiN積聚物。階梯結構的氮化物層使用鎢(W)取代以形成鎢字線,而SiN襯墊使用鎢取代以形成落地襯墊,此防止階梯結構上之鎢字線被由延伸至鎢字線的互連衝穿。

Description

在水平表面上氮化矽之選擇性沉積
本發明關於處理半導體基板的方法,更具體而言,本發明關於藉由高密度電漿化學氣相沉積(HDP CVD)在水平表面上選擇性地沉積氮化矽(SiN)的方法。
半導體元件製造涉及快閃記憶體的製造。當元件縮小時,用於製造有效率且複數記憶體單元的結構係用以使記憶體元件中之記憶體單元的密度最大化。3D NAND技術藉由以覆層的方式垂直堆疊記憶體單元而處理關於二維NAND技術的挑戰。
本文提供用於處理半導體基板的方法及設備。揭示內容的一實施態樣涉及用於製造3D NAND結構之處理半導體基板的方法,該方法涉及設置具有呈階梯圖案排列之交替的氧化物層及氮化物層的基板。氮化物層的每一者具有曝露的水平表面。在階梯圖案上沉積氧化物填充物之前,藉由高密度電漿化學氣相沉積(HDP CVD)在氧化物層及氮化物層兩者上沉積氮化矽(SiN)。SiN在各層相對於氧化物側壁表面在氮化物層的曝露水平表面上選擇性地沉積,以形成SiN襯墊。
藉由HDP CVD之SiN的沉積可涉及同時將SiN沉積在氮化物層之曝露的水平表面上以形成SiN襯墊、並相對於SiN襯墊選擇性地蝕刻沉積在階梯圖案之氧化物層之側壁上的SiN。此外,各層之氮化物層之曝露的水平表面可曝露於沉積化學品以形成SiN襯墊。又,階梯圖案之氧化物層的側壁可曝露於蝕刻劑以相對於SiN襯墊選擇性地蝕刻沉積在側壁上的SiN。
或者,在一些實施例中,在將階梯圖案之氧化物層曝露於蝕刻劑之前,可將在各層之氮化物層之曝露的水平表面曝露於沉積化學品。蝕刻劑可包含自氫氣(H2 )或含氟(F)氣體產生的電漿物種。在一些實施例中,從沉積SiN至選擇性地蝕刻沉積在氧化物層之側壁上之SiN的轉換可涉及降低施加至固持基板之底座的偏壓。
在一些實施例中,藉由HDP CVD沉積SiN可包含使含矽反應物及含氮反應物流至HDP CVD腔室。
在一些實施例中,可使用鎢(W)字線取代氮化物層。可使用鎢落地襯墊取代SiN襯墊。此外,在藉由HDP CVD於氧化物層的曝露表面上沉積SiN之後,可在階梯圖案上沉積氧化物填充物。可蝕刻氧化物填充物以在氧化物填充物中形成垂直的通孔。垂直的通孔可延伸至鎢字線。氧化物填充物可相對於鎢落地襯墊選擇性地受蝕刻。可在通孔中沉積鎢以形成延伸至字線的互連。可將鎢落地襯墊配置在字線上以防止字線的其中一或更多者被通孔的其中一或更多者衝穿。
在一些實施例中,可選擇性地蝕刻在階梯圖案之氧化物層的側壁上沉積的SiN,而使在水平表面上之SiN的沉積保持實質上未受損壞。
本揭示內容的另一實施態樣涉及用於處理具有氮化物層配置於氧化物層間之形成階梯結構之基板的方法。氮化物層的一部分係曝露的。在對基板施加偏壓時,藉由高密度電漿化學氣相沉積(HDP CVD)自含矽反應物及含氮反應物所沉積的SiN可沉積在氮化物層之曝露部分的平坦表面上。階梯結構之氧化物層之側壁上所沉積的材料可藉由相對於氮化物層之曝露部分之平坦表面上所沉積的材料選擇性地蝕刻側壁上所沉積的材料。在一些實施例中,含矽反應物及含氮反應物的沉積可為非等向性的。此外,蝕刻側壁上所沉積的材料之步驟可涉及使側壁曝露於自氫氣或含氟氣體所產生的電漿物種。更進一步,沉積步驟及移除側壁上所沉積之材料的步驟可同時或序列式地執行。
另一實施態樣涉及用於處理基板的設備。該設備可包括包含處理腔室的反應器。電漿源可與處理腔室呈流體連通並與其耦接。基板底座可配置在處理腔室之內。基板底座可接收由與基板底座耦接之電極提供的電能。一或更多氣體入口可通往處理腔室並具有與該等氣體入口相關的流量控制硬體。處理氣體可藉由氣體入口引入處理腔室。控制器可配置成控制反應器。控制器具有處理器及記憶體。處理器及記憶體彼此通訊連接。處理器與流量控制硬體至少操作上連接,且記憶體儲存用於控制處理器之電腦可執行的指令,以至少藉由下列者控制流量控制硬體:(a)使處理氣體進入處理腔室,該處理氣體包括:含矽反應物、含氮反應物、及氫氣,其中氫氣對含矽反應物的比率係至少1:2,(b)在(a)期間對電極施加偏壓;(c)在(a)之後,降低施加至電極的偏壓;及(d)在(c)期間,使氫(H2 )氣體或含氟氣體進入。
這些及其他特徵將參照相關圖式描述於下。
在以下敘述中,闡明諸多具體細節以提供所呈現實施例的理解。所揭示的實施例可以不具有某些或全部這些具體細節而實施。另一方面,未詳細說明眾所周知的製程操作以免模糊所揭示的實施例。此外,雖然所揭示的實施例將結合特定實施例描述,但吾人將理解該等特定實施例並非意圖限制所揭示的實施例。
以下揭露的實施方式描述諸如晶圓、基板、或其他工件之基板上之材料的沉積。工件可具有諸多形狀、尺寸、及材料。在此申請案中,術語「晶圓」及「基板」係可互換地使用。
半導體製造經常涉及記憶體元件的製造。一示例為3D NAND(亦稱為「垂直NAND」(VNAND))結構的製造。然而,用於形成3D NAND結構的現有技術就縮放至更小元件而言係有所限制,且目前可得之圖案化技術可能導致3D NAND結構中的諸多元件之不期望的劣化。
在當前3D NAND的技術中,通孔可在沉積於呈階梯圖案排列之交替氧化物及氮化物層上的氧化物填充物中形成。通孔垂直地延伸以接觸曝露之取代氮化物層的鎢字線。諸如鎢的金屬沉積在通孔中以形成互連,該等互連延伸至鎢字線並接觸鎢字線。然而,考慮到每一字線層相對於互連之高度中的差異,維持諸多互連與其對應的字線間的足夠停止距離可能是困難的。因此,填充最長之互連以使其與階梯圖案之基底層上之字線接觸所需的時間,可能導致通過配置在階梯圖案上更高處的字線並進入配置在字線下方之氧化層的較短互連之非刻意且不期望的「衝穿」(punchthrough)。因此,位在階梯上之較高位置處之字線上的接觸表面可能相對於位在朝階梯圖案之底部的字線過蝕刻。
此外,增加3D NAND結構中之交替的氧化物及氮化物層的高度或數目亦可能具有挑戰性,因為交替之層堆疊的高度可能導致實質上的蝕刻及彎曲問題。為了減輕由於高3D NAND結構導致之蝕刻及彎曲問題的影響,可減少每一氧化物層或氮化物層的厚度。然而,這樣做可能進一步使上述衝穿問題惡化。
處理以上討論之問題的其他補救措施包含增加接觸遮罩及蝕刻操作,使得可將階梯圖案上之較高位置上的過蝕刻最小化,然而這樣做通常增加成本並降低產量。
或者,可在階梯圖案上增加蝕刻停止層以解決上述衝穿問題。然而,高選擇性的蝕刻停止層在通孔受蝕刻的區域中亦可為選擇性的,且因此非刻意地阻擋通孔區域附近或之內的階梯圖案中的氮化物層移除。
配置成實施方法的所揭示方法及設備提供在階梯圖案的每一氮化物層之選定曝露部分處之氮化矽(SiN)的沉積,以形成SiN襯墊於其上。在一些實施例中,方法包含在高密度電漿化學氣相沉積(HDP CVD)工具之內原位蝕刻。與其他沉積方法相比,與使用HDP CVD以沉積SiN相關聯的優點包含薄的非刻意側壁沉積覆蓋。此外,SiN襯墊對於等向性遞送之蝕刻劑(諸如自含氫或含氟物種產生之蝕刻劑)的曝露,可移除沉積在階梯圖案之側壁上的SiN、但使沉積在水平表面上的SiN保持未受損壞。在一些實施例中,SiN沉積可作為單一操作完成,從而使成本及製程循環時間最小化。雖然以下方法及工具係在3D NAND結構中在氮化物層上形成SiN襯墊的背景下描述,但其可在尋求於水平表面上選擇性SiN沉積的任何應用中使用。
圖1顯示根據用於形成3D NAND結構之方法所執行之操作的製程流程圖。在操作183中,設置基板。在諸多實施例中,基板係半導體基板。基板可為矽晶圓,例如200-mm晶圓、300-mm晶圓、或450-mm晶圓,包含具有諸如介電、導電、或半導電材料之一或更多層材料沉積於其上的晶圓。示例基板100如圖2中的示意圖設置。
返回至圖1,在操作184中,將交替之氧化物及氮化物膜的膜堆疊沉積在基板上。在諸多實施例中,所沉積的氧化物層為矽氧化物層。在諸多實施例中,所沉積之氮化物層為矽氮化物層。
每一氧化物及氮化物層可沉積至約相同的厚度,諸如在約10 nm與約100 nm之間、或在一些實施例中約350 nm。氧化物層可在約室溫與約600°C之間的沉積溫度下沉積。吾人將理解,如本文使用之「沉積溫度」(或「基板溫度」)意指固持基板之底座在沉積期間所設定的溫度。
用於形成交替之氧化物及氮化物膜堆疊的氧化物及氮化物層可使用任何適當的技術沉積,諸如原子層沉積(ALD)、電漿加強原子層沉積(PEALD)、化學氣相沉積(CVD)、電漿加強化學氣相沉積(PECVD)、或濺射。在諸多實施例中,藉由PECVD沉積氧化物及氮化物層。
膜堆疊可包含48與512層間之交替的氧化物及氮化物層。每一氧化物或氮化物層構成一層。包含交替之氧化物及氮化物層的膜堆疊可稱作為氧化物-氮化物-氧化物-氮化物(ONON)堆疊。
圖3顯示基板100的例示性示意圖,基板100具有沉積在基板100上之交替的氧化物101及氮化物102膜。注意雖然圖3中所示的結構顯示先沉積的氧化物、接著氮化物、氧化物、氮化物等,但亦可先沉積氮化物、接著氧化物、氮化物、氧化物等。
在ONON堆疊的沉積之後,可在基板中蝕刻一或更多通道(未顯示於圖3中)。隨後,參照圖1,在操作186中,使階梯圖案在基板上形成。如本文所稱的「階梯圖案」描述二或更多階,每一階包含單一氧化物層及單一氮化物層。吾人將理解,就階梯中之階的形成而言,每一組氧化物及氮化物層的頂部層可為氧化物層或氮化物層。在諸多實施例中,階梯圖案包含24與256之間的階。階梯圖案可使用諸多圖案化技術形成。一技術可包含在基板上方沉積犧牲層並遮蔽基板的複數區域,以蝕刻每一組氧化物及氮化物層而形成階梯。
圖4A提供包含氧化物層111及氮化物層112之階梯圖案之基板100的示例,其中硬遮罩110在最頂之氮化物層上方。雖然圖4A顯示四階的階梯圖案,但吾人將理解階梯圖案可具有任何數目的階,諸如24與256之間的階。每一階包含氮化物層及氧化物層。每一階自其上方之階的邊緣向外延伸的區域可稱作為階的「曝露」區域或階的最頂層、或適於沉積於其上的部分。
圖4A顯示之階梯圖案例如在階梯的整個寬度方向上加以平分的視圖199係於圖4B中顯示,以強調階梯圖案的複層結構。氧化物層111平行於氮化物層112配置並在氮化物層112之間。單一氧化物層111接著單一氮化物層112的每一組比其正上方的該組更長,因此形成具有曝露區域的階梯圖案。
在一些實施例中,在圖1的操作188中,將氧化物沉積在基板上。該氧化物可為與ONON堆疊之層中所沉積的氧化物相同或不同成分者。在諸多實施例中,沉積在基板上的氧化物係在與用於沉積ONON堆疊中之氧化物層的沉積溫度相同或不同的沉積溫度下沉積。沉積溫度可為在室溫與約600℃之間。在沉積氧化物之後,後續可將垂直狹縫蝕刻入基板。
圖5A顯示示例基板100,其包含沉積在基板上的ONON階梯、硬遮罩110、及氧化物122。圖5B顯示蝕刻垂直狹縫135並移除硬遮罩110後之基板100的側視圖。
在操作190中,相對於基板上的氧化物選擇性地蝕刻氮化物。蝕刻可使用選擇性的乾式蝕刻製程執行,諸如藉由將基板曝露於以下氣體的任何一或更多者:氯(Cl2 )、氧(O2 )、一氧化二氮(N2 O)、四氟甲烷(CF4 )、四氟化硫(SF4 )、二氧化碳(CO2 )、氟甲烷(CH3 F)、三氟化氮(NF3 )、氮(N2 )、氫(H2 )、氨(NH3 )、甲烷(CH4 )、六氯化硫(SF6 )、氬(Ar)、羰基硫(COS)、二硫化碳(CS2 )、硫化氫(H2 S)、及一氧化氮(NO)。操作190將氮化物層自ONON堆疊移除,使得蝕刻物種流入階梯圖案中形成的垂直狹縫並選擇性地蝕刻氮化物。吾人將理解選擇性蝕刻涉及以比蝕刻第二材料更快的速率蝕刻第一材料。舉例而言,相對於氧化物選擇性地蝕刻氮化物意味著以比氧化物的蝕刻更快的速率蝕刻氮化物。氮化物亦可使用溼式蝕刻製程選擇性地蝕刻,諸如藉由將基板曝露於磷酸(H3 PO4 )、稀釋的氫氟酸(「DHF」)、或這些溶液的混合物。然而,選擇性地移除氮化物在諸多介面處(諸如在每一階梯之末端處的氧化物-氧化物介面處)造成氧化物材料之劣化及移除的風險。此關於圖6A進一步描述於下。
圖6A顯示基板100的例示性示意圖,基板100具有自蝕刻氮化物層112所形成的水平間隙132。如圓圈169中描繪之放大圖所示,由於在蝕刻操作期間蝕刻物種流入間隙132中並蝕刻掉氧化物,故在氧化物-氧化物介面處可形成間隙134。圖6B顯示基板之橫剖面的側面圖,其中間隙132自選擇性地蝕刻氮化物而形成。
返回至圖1,在操作192中,將鎢沉積至基板的間隙中以形成鎢字線。鎢可藉由任何適當的技術沉積,諸如:ALD、CVD、PEALD、及PECVD。在一些實施例中,阻障層或鎢成核層的其中一或二者在沉積主體鎢之前沉積。圖7A顯示包含沉積之鎢字線140之基板100的示例。然而,如圓圈169處之放大圖所示,由於氧化物-氧化物介面處之氧化物的劣化,鎢填充間隙141處的間隙,從而連接兩字線140,其可能導致短路。圖7B顯示以自側面視角之橫剖面之圖7A中之基板的示意圖,其中鎢字線140沉積在先前氮化物所在之處的間隙中。
返回至圖1,在操作194中,將氧化物垂直地蝕刻以形成通孔。氧化物可藉由利用曝露於蝕刻劑(諸如以下氣體之一或更多者:O2 、Ar、C4 F6 、C4 F8 、SF6 、CHF3 、及CF4 )的乾式蝕刻而被蝕刻。圖8顯示包含呈階梯圖案之ONON堆疊的示例基板100,其中在氧化物122中蝕刻通孔137。然而,由於鎢字線層的相對薄度、及用以確保充分垂直蝕刻以蝕刻最深之通孔(例如137b)的漫長持續蝕刻時間,蝕刻物種流入針對氧化物之淺部所蝕刻的通孔(例如137a)中,因而蝕穿鎢層136且甚至蝕穿另一氧化物層138。如先前所討論,如此現象通常是不期望的,且被稱為對於配置在所欲鎢字線接觸點或層下方之層的「衝穿」或「突破」。
在圖1中,在操作196中,將鎢沉積在通孔中以形成至鎢字線的互連。然而,如圖9所示,因為淺通孔由於用以蝕刻深通孔的持續時間而導致對下方層的突破,所以鎢填充通孔(見互連142)並導致如圖9之圓圈171處的短路。通孔在深度上有差異,且可具有約1微米與約12微米之間的深度。淺通孔可定義為具有小於3.0微米的深度,例如約1.5微米與3.0 微米之間。深通孔可具有大於3.0微米的深度。形成於氧化物中之通孔的臨界尺寸可介於約50 nm與約500 nm之間。通孔可利用可涉及遮蔽操作以將氧化物圖案化的乾式蝕刻製程蝕刻。
用於形成3D NAND結構的習知技術在氮化物的選擇性移除期間導致在氧化物-氧化物介面處的劣化,並在蝕刻不同深度的通孔時導致鎢字線的衝穿。通常,所執行之廣泛蝕刻技術利用諸多化學品及圖案化製程來遮蔽基板的區域,以利用不同化學品及製程條件蝕刻不同深度的通孔。如此製程降低產量且降低製程的效率。
本文所提供者係用於形成3D NAND結構而不使氧化物-氧化物介面劣化的方法及設備。該方法及設備藉由在階梯圖案之氮化物層的曝露水平部分上沉積氮化矽(SiN)以形成SiN襯墊而避免鎢字線的衝穿。各SiN襯墊可形成經界定的高度或厚度。氮化物層之曝露水平部分上之SiN的沉積相對於階梯圖案之氧化物層之曝露的側壁是選擇性的。氧化物層之曝露的側壁表面上非刻意地沉積之材料可藉由等向性的側壁移除製程而蝕刻。將分別自含氫或含氟氣體(諸如H2 (氣體)或NF3 (氣體))產生的蝕刻劑遞送至容納3D NAND結構的反應處理腔室。SiN的選擇性沉積及SiN的非等向性蝕刻可執行為沉積發生在蝕刻前的序列式製程、或執行為並行製程。階梯圖案的氮化物層與各氮化物層上形成的SiN襯墊相對於氧化物層選擇性地受蝕刻,以形成對應於SiN襯墊之位置的水平間隙及空區。鎢填充該水平間隙及空區以在字線上形成鎢字線及落地襯墊(landing pad)。落地襯墊的每一者具有足夠厚度以作為蝕刻停止層或保護阻障,以防止互連衝穿鎢字線。
圖10係用於根據一些實施例執行之方法之操作的製程流程圖。圖10中顯示者導致在操作1020之落地襯墊的形成。落地襯墊之每一者的厚度提供對於通過亦在操作1020與落地襯墊一起形成之字線之互連衝穿的持續保護。在一些實施例中,操作1002及1004可分別與先前於圖1中呈現的操作183及184相同或類似。在操作1006中,在基板上形成階梯圖案。操作1006可與上述圖1的操作186相同或類似。
在操作1008a中,於操作1010中之在階梯圖案上沉積氧化物之前,藉由高密度電漿化學氣相沉積(HDP CVD)將SiN定向性地沉積在階梯圖案的氮化物層(在各氮化物層處)的曝露水平表面上。沉積係相對於氧化物層的曝露側壁表面選擇性地進行。在最佳操作條件下,選擇性沉積將導致沒有SiN沉積在氧化物層的曝露側壁表面上。因此,SiN材料沉積在氮化物層之每一者的曝露水平表面上以在其上形成SiN襯墊,其中SiN襯墊的每一者可具有經界定的高度。
圖10中之SiN的選擇性沉積係藉由使用含矽反應物及含氮共反應物的HDP CVD執行。含矽反應物的示例包含矽烷(SiH4 )及二矽烷(Si2 H6 )。含氮共反應物的示例包含分子氮(N2 )及氨(NH3 )。
含矽反應物及含氮共反應物可流入HDP CVD製程設備中的反應處理腔室,以反應而形成用於在操作1008a依需要沉積的SiN。SiN可在約100℃與約700℃之間、或約150℃與約400℃之間的合適沉積溫度(諸如在約400℃)沉積。示例腔室壓力可在約1托與約10托之間、或在約1.5托與約7托之間。雖然本質上定向為以氮化物層之曝露的水平表面為目標,但在一些實施例中,操作1008a中進行的沉積可能導致一些SiN材料沉積在氧化物層之曝露、垂直定向的側壁表面上。蝕刻物種可與操作1008a中描述的沉積同時或在沉積完成之後依序流入沉積腔室中,以如操作1008b所述、且如圖12至14B所示等向性地蝕刻沉積在曝露之側壁表面上的SiN。在一些實施例中,用於蝕刻的製程條件可包含先前關於圖1的操作190描述者的任一者、或如上面用於如操作1008a中進行之沉積所描述者。在一些實施例中,沉積在氧化物層的曝露側壁表面上的SiN被完全移除、或以足量移除,使得其不形成連續的膜以防止在操作1014的鎢間隙填充時鎢字線層間的電短路,此將在下面進一步詳細描述。
在操作1008a及1008b之後,在操作1010,在階梯圖案上沉積氧化物(亦稱為氧化物填充物),其包含在氮化物層上形成的SiN襯墊。在操作1012,具有自各氮化物層延伸之SiN襯墊的氮化物層相對於氧化物層及氧化物填充物選擇性地蝕刻,以在階梯圖案中的氧化物層之間產生水平間隙,類似於圖6A及6B中顯示者。水平間隙係稍後在操作1014藉由間隙填充操作使用鎢填充,以形成鎢字線並以包含鎢的落地襯墊取代SiN襯墊。落地襯墊在字線上形成,如圖14B所示,例如在字線140上形成的落地襯墊182。此操作可使用如以上關於圖1之操作192所述的任何技術或製程條件執行。在操作1014期間之鎢字線的形成期間,使用鎢填充自蝕刻SiN襯墊產生的空區以在鎢字線上形成落地襯墊。
在操作1016中,接著蝕刻氧化物122以形成通孔。舉例而言,將通孔垂直地蝕刻穿過氧化物(例如類似於圖8中顯示者),以接觸並終止於自字線延伸的落地襯墊。因此,諸多通孔延伸至在階梯圖案上形成之落地襯墊的每一者。與形成較短通孔以接觸階梯圖案之頂部附近的字線所需的時間相比,形成較長通孔以接觸階梯圖案之底部附近的字線可能需要相對較長的蝕刻持續時間。因此,形成延伸至階梯圖案之底部附近之字線的長通孔所需之延長的蝕刻持續時間可能導致意圖在階梯圖案之頂部處接觸字線的通孔衝穿如此字線。圖10中顯示的製程在各字線上藉由形成落地襯墊而防止通孔穿過字線(例如位在階梯圖案之上層附近之字線)的如此衝穿。各落地襯墊提供額外的材料,在衝穿落地襯墊形成於其上的字線之前,給定的通孔必須穿過該額外的材料。
在操作1018,將鎢沉積至通孔中以形成延伸穿過氧化物填充物而接觸落地襯墊的互連。除了提供防止通孔穿過字線之衝穿的保護之外,落地襯墊亦在操作1020防止互連穿過字線的衝穿。
參照圖10描述的方法結合圖11至15進一步說明及描述。首先,參照圖11,顯示不希望的SiN累積物172。累積物172可由階梯圖案之交替的氮化物層112上的區域124處之保形或非定向之氮化物上氮化物(nitride-on-nitride)沉積所導致。如圖11之放大的圓形區域170所示,由於沉積過程中的瑕疵,累積物172的形狀或尺寸可能為不規則的,且朝下方氮化物層上的另一累積物172延伸超出給定的氮化物層112,如區域124下方所示。這些不規則的沉積圖案可能使位於交替的氮化物層112間的區域126變得擁擠,使得使用氧化物122填充區域126更加困難。此外,在一些實施例中,在累積物172處氮化物之沉積物中的不規則性可能導致在給定氮化物層112上形成的累積物172與在氮化物層112上沉積的另一累積物172的接觸(未在放大區域170中顯示),其在氮化物層112稍後以鎢字線140替換時可能導致短路,而可能使3D NAND元件無法使用。此外,在曝露的氧化物層側壁上之可能的氮化物沉積亦可能導致交替的字線140間的短路(圖11中未顯示)。
為了避免如圖11所示及以上討論之氮化物層112上之累積物172的可能接觸,且為了達到期望的氮化物沉積圖案,執行HDP CVD以在交替的氮化物層112上的曝露表面174處沉積SiN而形成SiN襯墊182,如圖12所示。
如本文使用的HDP CVD係不同於電漿加強化學氣相沉積(PECVD)製程。感應式耦合HDP CVD製程條件及所得的膜係不同於電容式耦合PECVD製程。舉例而言,如本文描述的諸多HDP反應器在小於約100毫托的壓力下操作,其中電漿密度大於1011 離子/cm3 。HDP反應器可針對線圈以400 kHz的電漿頻率點燃電漿,並針對放置晶圓的底座以13.56 MHz的頻率點燃電漿。在電容式耦合電漿反應器中,當施加至噴淋頭或底座時,13.56 MHz的電漿頻率係用以產生電漿,並將400 kHz施加至噴淋頭或底座。HDP反應器中的離子能量可能大於PECVD反應器中者。因此,在HDP CVD反應器中沉積的膜組成及膜特性係與在PECVD反應器中所沉積者不同。
如在圖10中的操作1008a及1008b中所介紹及討論,如圖12所示,在曝露的水平氮化物表面174上,待沉積以形成SiN襯墊182的SiN可藉由使含矽前驅物及氮氣源流入HDP CVD腔室而形成。含矽前驅物的示例為矽烷(SiH4 )。氮氣源的示例係氮氣(N2 )及氨(NH3 )。
在一些實施例中,可以階梯圖案之氮化物層112上之曝露的水平表面174為目標執行HDP CVD而在其上沉積SiN。因此,如圖12所示,階梯圖案之各曝露的水平表面174上形成一SiN襯墊182。在一些實施例中,執行HDP CVD以沉積SiN,使得SiN襯墊的深度係交替的氮化物層112之各氮化物層的約一半,各氮化物層係約25 nm至35 nm厚。因此,在一些實施例中,SiN襯墊182將具有範圍從13 nm至18 nm的高度176。然而,經由HDP CVD執行的沉積製程仍可能在曝露的氧化物層側壁128上導致一些潛在之不期望的SiN沉積(如圖12所示),而可能導致交替的字線140之間的短路。
為了解決氧化物層側壁128上的SiN沉積,執行等向性蝕刻以蝕刻在區域130處於側壁128上沉積的SiN,如圖12及13所示。在一些實施例中,諸多氣體可流至負責形成蝕刻劑物種(包含自由基,諸如原子氟、氟(F- )離子、或分子氟(F2 ))的電漿產生器。如此氣體可包括含氫氣體(例如H2 或NH3 )及含氟氣體(例如F2 或NF3 )的其中一或二者,其形成被遞送至反應腔室以選擇性地蝕刻在區域130處之氧化物層111的側壁128上所沉積之SiN的蝕刻劑物種。相對於氮化物層112之曝露的水平表面174上形成的SiN襯墊182執行蝕刻,以移除側壁128上之一些或全部SiN積聚物,如圖14A、14B、及15所示,以因此例如在以鎢(W)取代氮化物層112而形成字線140時減少或消除字線140間之短路的可能性。
含氟電漿相對於氧化物選擇性地蝕刻氮化物,且在一些實施例中可用以蝕刻側壁128上沉積的SiN氮化物。在一些實施例中,可藉由等向性的氫(H2 )氣蝕刻移除側壁128,以保留沉積在表面174上的SiN而形成SiN襯墊182,如圖13所示。然而,在任何蝕刻製程期間(例如基於氟(F)或基於氫(H)),氧O2 氣的夾雜是不希望的,因為O2 的施加可能將曝露的氮化物表面174轉變成氧化物表面,因而潛在地降低蝕刻速率。
用以執行蝕刻製程之反應腔室內的溫度變化可能影響蝕刻速率。蝕刻製程可在沉積溫度或非常接近沉積溫度下執行,即從約80℃至150℃,其中處理腔室溫度中的實質變化可能影響蝕刻結果。此外,可對基板100施加偏壓以將蝕刻劑物種吸引至所沉積的SiN材料。
如圖10中顯示的操作1008a及1008b,亦即用以形成SiN襯墊182之SiN的選擇性沉積和側壁128上所沉積之SiN的等向性蝕刻可依序地(其中操作1008a的沉積在操作1008b的蝕刻之前發生)、或如圖10所示同時執行。對於同時的應用而言,沉積化學品及蝕刻化學品可同時流入反應處理腔室以在氮化物層112的曝露表面上沉積SiN而在各氮化物層112上形成一SiN襯墊182,且同時選擇性地蝕刻氧化物層111之側壁128上所沉積的SiN。此外,在一些實施例中,沉積和蝕刻製程可僅發生一次,亦即,如此製程並非意圖為循環性的。
在曝露的水平表面174上之SiN的沉積以形成SiN襯墊182、及側壁128上所沉積之SiN的同時等向性蝕刻具有諸多優點。舉例而言,可減少總製程完成時間。在一些實施例中,用以產生合適蝕刻劑物種的氣體包含氫或氨。沉積期間通常不使用含氟氣體。此外,在一些實施例中,可控制含矽前驅物及含氫蝕刻劑之量的比率以同時執行沉積及側壁128上所沉積之SiN的蝕刻。NH3 可用以供應氮以供SiN形成、及供應氫以供側壁128上所沉積之SiN的同時蝕刻。或者,在一些實施例中,可使含氟氣體與H2 、NH3 一起流入反應腔室。可調整反應腔室的製程條件以在氮化物層112的曝露表面上成功地執行SiN沉積、並同時執行側壁128上所沉積之SiN的蝕刻。
接著,參照圖14A及14B,氮化物層112及沉積於其上的SiN襯墊182係分別相對於基板上的氧化物而受蝕刻。蝕刻係實質上如操作190所述(如圖1所示)執行,以藉由使蝕刻劑物種垂直地流經ONON堆疊中的狹縫而移除氮化物層112,使得蝕刻劑物種流入垂直狹縫並選擇性地蝕刻氮化物。可使用濕式蝕刻製程選擇性地蝕刻氮化物,諸如藉由將基板曝露於磷酸(H3 PO4 )、或稀釋的氫氟酸(「DHF」)、或這些溶液的混合物。舉例而言,圖6A顯示具有自蝕刻氮化物所形成之水平間隙132的基板100的示例性示意圖。
在見於氮化物層112與SiN襯墊182中之氮化物的選擇性蝕刻之後,將鎢沉積至基板的間隙中以分別形成鎢字線140及落地襯墊182,如圖14B所示。使用鎢填充水平間隙(類似於圖6A中顯示的間隙132)以形成鎢字線。類似地,使用鎢填充由SiN襯墊182之蝕刻所致的空隙以形成落地襯墊182。可藉由任何合適的技術(諸如ALD、CVD、PEALD、或PECVD)沉積鎢以產生字線140或落地襯墊182,落地襯墊182可提供足夠的阻擋、亦稱為「蝕刻停止層」,以防止由互連衝穿至配置在所接觸之氮化物層下方的後續氮化物層,如圖15所示。
返回至圖13,顯示SiN沉積在區域130處之氧化物層111之側壁128上的情況。因此,在如上所述以字線140取代氮化物層112時,側壁128上的SiN亦可能以鎢取代,因此潛在地導致交替之字線140間的短路。因此,如上所述的蝕刻製程可移除側壁128上的SiN(如圖14A及14B所示)、或移除足夠的SiN,使得階梯圖案上的階梯之間沒有連續的SiN層(如圖13所示),以防止如此短路。
圖14A及14B說明等向性蝕刻製程已移除側壁128之區域130上之所有SiN沉積物的情況。因此,實質上減少或完全消除經由使用鎢取代在氧化物層111之側壁上沉積的SiN之在交替的字線140間之短路的風險。
類似於參照圖8及9一般性地顯示及討論者,氧化物122受垂直蝕刻以形成通孔,隨後使用鎢填充以形成互連142,如圖15所示。如圖1的操作194所述,氧化物122可藉由曝露於下列氣體的其中任何一或更多者而執行的乾式蝕刻而受蝕刻:O2 、Ar、C4 F6 、C4 F8 、SF6 、CHF3 、及CF4
如上所述及圖15中所示,落地襯墊182的形成防止圖9中顯示的衝穿。各字線140上之各落地襯墊182的深度提供互連142在衝穿至下方字線140之前必須穿過之額外的鎢,如圖15所示。
因此,在使用落地襯墊182的情況下,使衝穿情況的可能性大幅度最小化。圖15中顯示一情況,其中等向性蝕刻製程蝕刻氧化物層111之側壁128上沉積的SiN,從而使短路的風險最小化,且落地襯墊182防止通孔通過上字線140的蝕刻,從而防止衝穿。因此,依成功的操作所需而保留ONON堆疊的整體結構完整性。

設備
隨著半導體元件尺寸縮小,製造半導體元件的方法對汙染日漸敏感。舉例而言,半導體元件通常在包含由諸如鋁的金屬材料製成之腔室元件及腔室壁的反應器或腔室中製造。雖然一些半導體元件製程不涉及電漿,但在實施電漿的一些製程中,沉積期間曝露於原位電漿的腔室元件可能成片脫落並剝離,因此提高金屬微粒摻入沉積在晶圓上之膜中的風險。舉例而言,「高密度電漿化學氣相沉積」(HDP CVD)系統產生用於積體電路應用(諸如介電膜沉積及間隙填充)的高密度電漿。一些系統實施由在上方圓頂的低頻射頻(LFRF)電源供電的感應式耦合電漿、及由在靜電卡盤(ESC)之高頻(HF)電源供電的電容式耦合電漿。在處理期間,氣體被離子化並朝在ESC上的晶圓加速流動。HDP CVD處理可在沉積期間實施同步濺射蝕刻,以允許高深寬比溝槽的間隙填充。當曝露於處理期間使用的電漿時,HDP CVD系統的元件可能易受影響而成片脫落並剝離。
如上所述,如本文使用的HDP CVD係不同於PECVD。HDP CVD製程條件及所產生的膜係不同於電容式耦合的PECVD製程。舉例而言,如本文描述的諸多HDP反應器在小於約100毫托的壓力下操作,其中電漿密度高於1011 離子/cm3 。HDP 反應器可針對線圈點燃400 kHz之電漿頻率的電漿,並針對放置晶圓之處的底座點燃13.56 MHz之頻率的電漿。在電容式耦合的電漿反應器中,13.56 MHz的電漿頻率用以產生作為施加至噴淋頭或底座的電漿,並施加400 kHz至該噴淋頭或底座。HDP反應器中的離子能量可大於PECVD反應器中者。因此,HDP CVD反應器中沉積之膜的膜組成及特性係不同於PECVD反應器中所沉積者。
所揭示的實施例可在腔室(諸如圖16中顯示的腔室)中執行。儘管高密度電漿化學氣相沉積(HDP CVD)腔室描繪於圖16中,用於沉積膜的其他反應器或工具可用於本文描述的諸多實施例中。
圖16係適合用於執行所揭示實施例之HDP CVD反應器之示例的示意圖。反應器1601包含處理腔室1603,其包圍反應器的其他元件並用於容納電漿。在一些實施方式中,處理腔室壁係由鋁、鋁氧化物、或其他適當的材料製成。圖16顯示的實施例具有二電漿源:頂射頻(RF)線圈1605及側RF線圈1607。頂RF線圈1605係中頻或MFRF線圈,而側RF線圈1607係低頻或LFRF線圈。在圖16顯示的實施例中,MFRF頻率可為自430-470 kHz,而LFRF頻率為自340-370 kHz。然而,所揭示的實施例不限於使用雙來源或RF電漿源在反應腔室中的操作。可使用任何適當的電漿源或複數電漿源。
在反應器之內,晶圓底座1609支撐工件1611。工件1611可為PEC或晶圓。晶圓底座1609包含卡盤(有時稱為夾具),以將晶圓固持(或夾持)在適當位置。卡盤可用以在諸多製程期間將物件夾持在底座上。卡盤可為ESC、機械卡盤,或諸多可用的其他類型卡盤。包含用於將氣體或傳熱液體供應至晶圓底座1609之管線1613的氣體遞送子系統控制工件1611的溫度。晶圓底座1609及氣體遞送子系統可促進維持適當的晶圓溫度。
高頻RF或HFRF源1615用以在諸多製程期間對工件1611施加電偏壓並將帶電的氣體物種吸引至工件1611上。舉例而言,自HFRF源1615的電能經由電極或電容耦合而耦合至工件1611。注意施加至工件1611的偏壓可能不是RF偏壓。亦可使用其他頻率及DC偏壓。
處理氣體係經由一或更多入口1617引入。氣體可預混合或不預混合。處理氣體可透過包含孔口的氣體供應入口機構而引入。在一些實施例中,該等孔口的其中至少一些者將處理氣體沿注入軸定向,該注入軸呈銳角與工件1611的曝露表面相交。此外,可自主氣體環1621引入處理氣體,主氣體環1621可不將氣體朝底座引導。在一些實施例中,除了主氣體環1621之外,可自一或更多氣體環(未顯示)引入處理氣體。注射器可連接至主氣體環1621,以將氣體或氣體混合物的其中至少一些者引至腔室中並朝向底座。注意在一些實施例中,可不使用注射器、氣體環、或用於將處理氣體朝晶圓引導的其他機構。因處理氣體進入腔室而產生的聲波鋒(sonic front)本身將使氣體在所有方向上快速擴散-包含朝向工件1611。處理氣體經由出口1622離開腔室1603。真空泵(例如渦輪分子泵)通常將處理氣體抽出並在反應器之內維持適當低的壓力。可使用控制器1690控制反應器1601。控制器1690可包含用於執行本文所揭示之諸多操作的機器可讀指令。以下提供關於控制器1690的進一步描述。
如圖16所示,反應器1601包含用於根據所揭示實施例控制操作的控制器1690。控制器1690可用以控制諸多參數,諸如氣體經由入口1617流至底座1609的流率和壓力、底座1609的移動、靜電卡盤(ESC)的偏壓功率、MFRF線圈1605和LFRF線圈1607的電漿功率、底座溫度、腔室壓力、至處理腔室1603的氣流、工件1611進出腔室1603的轉移、及其他操作。
在一些實施例中,圖16顯示的反應器1601係用於處理一或更多晶圓之工具的一部份。圖17提供包含一或更多反應器工具的示例。圖17係適合用於根據所揭示實施例執行多步驟沉積製程之電漿處理系統的方塊圖。系統1700包含傳送模組1703,諸如由Lam Research Corporation of Fremont, California市售之在SPEEDTM 平台上使用的晶圓轉移系統(WTS)。傳送模組1703提供乾淨、加壓的環境,以於工件在諸多處理階段之間移動時使正被處理的工件(諸如晶圓)之汙染的風險最小化。傳送模組1703上所安裝的是一或更多HDP CVD模組或處理腔室1705,諸如由Lam Research Corporation of Fremont, California 市售的Lam SPEEDTM 反應器。蝕刻模組1707可為Lam原子層移除(ALR)反應器或Kiyo™反應器。這些蝕刻反應器可安裝在與沉積反應器相同的平台、或獨立於沉積反應器的平台上。
系統可選用性地包含能夠執行PECVD或原子層沉積(ALD)製程的腔室1709。腔室1709可包含諸多工作站1711、1713、1715、及1717,其可序列式地執行沉積或移除操作、或保護性之靜電卡盤外罩(PEC)清潔操作。系統1700亦包含晶圓在處理之前及之後儲存於該處的一或更多(在此示例為二)晶圓源模組1701。傳送模組1703中的裝置(通常為機器手臂單元)使晶圓在安裝於傳送模組1703上的模組中移動。
晶圓藉由機器手臂分別在HDP CVD模組1705及/或電漿蝕刻反應器1707之間傳送,以供沉積及回蝕處理。機器手臂亦可在調節層沉積腔室1709與其他腔室之間傳送晶圓。在一實施例中,單一蝕刻反應器可支持此應用中的兩個SPEED沉積模組1705,具有約每小時15-16晶圓(wph)的高產量。在其他實施例中,兩個蝕刻反應器1707可支持一或更多SPEED沉積模組1705。
所揭示的實施例亦可在無電漿蝕刻腔室的情況下實施。舉例而言,單一腔室針對HDP CVD沉積及反應性電漿蝕刻兩者加以配置。舉例而言,Lam SPEED HDP-CVD反應器具有調節、沉積、及電漿蝕刻的能力,且具有與使用獨立反應器之產量類似的產量。在給定本文提供之細節及參數的條件下,單一腔室(例如電漿反應器)可配置成具有例如本文描述之諸多電漿來源的沉積(HDP CVD)及反應性電漿蝕刻用設備(例如原位或下游電漿源)。
圖17亦描繪系統控制器1750的實施例,其用以控制系統1700的製程條件及硬體狀態。系統控制器1750可提供用於實施上述製程的程式指令。程式指令可控制諸多製程參數,諸如DC功率位準、RF偏壓功率位準、壓力、溫度等。指令可控制參數,以根據本文描述的許多實施例在處理一批次中的晶圓之前調節腔室並在PEC上執行沉積操作。
在一些實施方式中,控制器1750為系統的一部分,其可為上述示例的一部分。此等系統可包括半導體處理設備,其包含處理工具或複數處理工具、腔室或複數腔室、用於處理的平台或複數平台、及/或特定處理元件(晶圓底座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板的處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制系統或複數系統之諸多元件或子部分。依據系統的處理需求及/或類型,控制器1750可加以編程以控制此處揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接之裝載鎖定部的晶圓轉移。
廣義地說,控制器1750可定義為具有接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含呈儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或更多微處理器或微控制器。程式指令可為以諸多個別設定(或程式檔案)之形式傳送至控制器的指令,其定義在半導體晶圓上或針對半導體晶圓或對系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間完成一或更多處理步驟。
在一些實施例中,系統控制器1750控制系統1700的所有活動。系統控制器1750可包含一或更多記憶體元件1756、一或更多大量儲存裝置1754、及一或更多處理器1752。處理器1752可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。系統控制器1750執行儲存在大量儲存裝置1754中、加載至記憶體元件1756、並在處理器1752上執行的系統控制軟體1758。或者,控制邏輯可在控制器1750中加以硬編碼。針對這些目的,可使用特殊應用積體電路、可程式化邏輯裝置(例如現場可程式化閘陣列(FPGAs))等。在下面的討論中,在任何使用「軟體」或「程式碼」之處,皆可使用功能性相當的硬編碼邏輯來取代。系統控制軟體1758可包含用於控制下述者的指令:PEC進出處理腔室的轉移、晶圓進出處理腔室的轉移、氣體的時序、氣體的混合、氣流的量、腔室及/或工作站壓力、背側氣流壓力、腔室及/或反應器溫度、晶圓溫度、偏壓功率、目標功率位準、RF功率位準、底座、卡盤及/或基座的位置、及由系統1700執行之特殊製程的其他參數。系統控制軟體1758可以任何適合的方式配置。舉例而言,可撰寫諸多處理工具元件的副程式或控制物件,以控制執行諸多處理工具製程所需之處理工具元件的操作。系統控制軟體1758可以任何適合的電腦可讀程式語言加以編碼。
在一些實施方式中,控制器1750可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或以上方式組合。舉例而言,控制器1750可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監控製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數製造操作的趨勢或性能度量,以改變目前處理的參數、以設定目前操作之後的處理步驟、或啟動新的製程。在一些示例中,遠程電腦(例如伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些示例中,控制器1750接收呈數據形式的指令,該數據指定於一或更多操作期間將執行之各個處理步驟的參數。吾人應理解參數可專門用於將執行之製程的類型及控制器受配置所介接或控制之工具的類型。因此,如上所述,控制器1750可為分散式的,諸如藉由包含一或更多分散的控制器,其由網路連在一起且朝共同的目的(諸如本文描述的製程及控制)作業。一個用於如此目的之分散式控制器的示例將為腔室中的一或更多積體電路,其連通位於遠端(諸如在平台級或作為遠程電腦的一部分)之一或更多積體電路,而結合以控制腔室中的製程。
在一些實施例中,系統控制軟體1758可包含輸入/輸出控制(IOC)定序指令,用於控制上述諸多參數。儲存在與系統控制器1750相關聯之大量儲存裝置1754及/或記憶體元件1756中的其他電腦軟體及/或程式可在一些實施例中使用。用於此目的之程式或程式區段的示例包含晶圓定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
晶圓定位程式可包含用於處理工具元件的程式碼,該處理工具元件係用以將晶圓或PEC裝載至底座之上、並用以控制晶圓或PEC與系統1700之其他部件間的間距。處理氣體控制程式可包含程式碼,用於控制氣體成分(例如:如本文描述的調節處理氣體、沉積氣體、用於背側流動的氦氣或其他氣體、載體氣體等)和流率、及選用性地用於在沉積之前將氣體流進一或更多處理工作站,以使處理工作站內的氣壓穩定。壓力控制程式可包含程式碼,用於藉由調節例如處理工作站之排氣系統內的節流閥、進入處理工作站的氣流、在調節操作期間被引至PEC之背側之氣體的壓力等,以控制處理工作站內的壓力。
加熱器控制程式可包含控制流至用以加熱工件的加熱單元之電流的程式碼。或者,該加熱器控制程式可控制熱轉移氣體(諸如氦)至晶圓的遞送。電漿控制程式可包含根據本文實施例在一或更多處理工作站內設定施加至處理電極及偏壓的RF功率位準之程式碼。壓力控制程式可包含根據本文實施例維持反應腔室內的壓力之程式碼。
在一些實施例中,可有與系統控制器1750相關聯的使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示器、及使用者輸入裝置(諸如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器1750調整的參數可能與製程條件有關。非限制性示例包含處理氣體成分及流率、溫度、壓力、電漿條件(諸如RF偏壓功率位準)、壓力、溫度等。這些參數可以配方的形式提供給使用者,其可利用使用者介面輸入。
用於監控製程的訊號可由系統控制器1750的類比及/或數位輸入連接件自諸多處理工具感測器提供。用於控制製程的訊號可在系統1700的類比及數位輸出連接件上輸出。可被監控之處理工具感測器之非限制性示例包含質流控制器、壓力感測器(諸如壓力計)、熱電偶等。適當編程的回饋及控制演算法可與來自這些感測器的數據一起使用以維持製程條件。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中的其他半導體處理系統。
如上所述,依據將由工具執行的製程步驟或複數製程步驟,控制器可與下列其中一或更多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或裝載埠口。

結論
雖然上述實施例為了清楚理解的目的已以一些細節描述,但將顯而易見,若干改變與修飾可在隨附申請專利範圍的範疇內實施。應注意有許多替代方式執行本發明實施例的製程、系統、及裝置。因此,本發明實施例應被視為說明性而非限制性的,且該等實施例不限於本文提供的細節。
100‧‧‧基板
101‧‧‧氧化物
102‧‧‧氮化物
110‧‧‧硬遮罩
111‧‧‧氧化物層
112‧‧‧氮化物層
122‧‧‧氧化物
124‧‧‧區域
126‧‧‧區域
128‧‧‧側壁
130‧‧‧區域
132‧‧‧間隙
134‧‧‧間隙
135‧‧‧垂直狹縫
136‧‧‧鎢層
137a‧‧‧通孔
137b‧‧‧通孔
138‧‧‧氧化物層
140‧‧‧字線
141‧‧‧間隙
142‧‧‧互連
169‧‧‧圓圈
170‧‧‧區域
171‧‧‧圓圈
172‧‧‧累積物
174‧‧‧表面
176‧‧‧高度
182‧‧‧落地襯墊/SiN襯墊
183‧‧‧操作
184‧‧‧操作
186‧‧‧操作
188‧‧‧操作
190‧‧‧操作
192‧‧‧操作
194‧‧‧操作
196‧‧‧操作
199‧‧‧視圖
1002‧‧‧操作
1004‧‧‧操作
1006‧‧‧操作
1008a‧‧‧操作
1008b‧‧‧操作
1010‧‧‧操作
1012‧‧‧操作
1014‧‧‧操作
1016‧‧‧操作
1018‧‧‧操作
1020‧‧‧操作
1601‧‧‧反應器
1603‧‧‧腔室
1605‧‧‧頂射頻(RF)線圈/MFRF線圈
1607‧‧‧側RF線圈/LFRF線圈
1609‧‧‧底座
1611‧‧‧工件
1613‧‧‧管線
1615‧‧‧高頻RF或HFRF源
1617‧‧‧入口
1621‧‧‧主氣體環
1622‧‧‧出口
1690‧‧‧控制器
1700‧‧‧系統
1701‧‧‧晶圓源模組
1703‧‧‧傳送模組
1705‧‧‧HDP CVD模組或處理腔室
1707‧‧‧蝕刻模組/電漿蝕刻反應器
1709‧‧‧腔室
1711‧‧‧工作站
1713‧‧‧工作站
1715‧‧‧工作站
1717‧‧‧工作站
1750‧‧‧控制器
1752‧‧‧處理器
1754‧‧‧大量儲存裝置
1756‧‧‧記憶體元件
1758‧‧‧系統控制軟體
圖1係描繪方法之操作的製程流程圖。
圖2、3、及4A係圖案化方案中之基板的示意圖。
圖4B係圖4A中顯示之基板的部分視圖。
圖5A、6A、7A、8、及9係圖案化方案中之基板的示意圖。
圖5B、6B、及7B分別係圖5A、6A、及7A中所描繪之基板之示意圖的側視圖。
圖10係描繪方法之操作的製程流程圖。
圖11-15係圖案化方案中之基板的示意圖。
圖16係用於執行某些所揭示實施例之示例處理腔室的示意圖。
圖17係用於執行某些所揭示實施例之示例處理工具的示意圖。

Claims (20)

  1. 一種處理半導體基板的方法,其係用於製造3D NAND結構,該方法包含: 設置一基板,該基板具有呈階梯圖案排列之交替的氧化物層及氮化物層,其中該等氮化物層的每一者具有曝露的水平表面;及 在於該階梯圖案上沉積氧化物填充物之前,藉由高密度電漿化學氣相沉積(HDP CVD)在該等氧化物層及該等氮化物層兩者上沉積氮化矽(SiN),其中SiN在各層相對於氧化物側壁表面在該等氮化物層之該等曝露的水平表面上選擇性地沉積,以形成複數SiN襯墊。
  2. 如申請專利範圍第1項之處理半導體基板的方法,其中藉由HDP CVD之SiN的沉積包含: 同時將SiN沉積在該等氮化物層之該等曝露的水平表面上以形成該等SiN襯墊、並相對於該等SiN襯墊選擇性地蝕刻沉積在該階梯圖案之該等氧化物層之側壁上的SiN。
  3. 如申請專利範圍第1項之處理半導體基板的方法,更包含: 將在各層之該等氮化物層之該等曝露的水平表面曝露於沉積化學品,以形成該等SiN襯墊;及 將該階梯圖案之該等氧化物層的側壁曝露於蝕刻劑以相對於該等SiN襯墊選擇性地蝕刻沉積於其上的SiN。
  4. 如申請專利範圍第3項之處理半導體基板的方法,其中在將該階梯圖案之該等氧化物層曝露於該蝕刻劑之前,將在各層之該等氮化物層之該等曝露的水平表面曝露於該沉積化學品。
  5. 如申請專利範圍第3項之處理半導體基板的方法,其中該蝕刻劑包含自氫氣(H2 )、含氟(F)氣體、或其組合的其中一者產生的電漿物種。
  6. 如申請專利範圍第3項之處理半導體基板的方法,其中從沉積SiN至選擇性地蝕刻沉積在該等氧化物層之側壁上之SiN的轉換包含降低施加至該基板的偏壓。
  7. 如申請專利範圍第1項之處理半導體基板的方法,其中藉由HDP CVD沉積SiN的步驟包含使含矽反應物及含氮反應物流至HDP CVD腔室。
  8. 如申請專利範圍第7項之處理半導體基板的方法,其中藉由HDP CVD沉積SiN的步驟更包含使氫氣(H2 )流至該HDP CVD腔室。
  9. 如申請專利範圍第1項之處理半導體基板的方法,更包含: 使用鎢字線取代該等氮化物層;及 使用鎢落地襯墊取代該等SiN襯墊。
  10. 如申請專利範圍第9項之處理半導體基板的方法,更包含: 在藉由HDP CVD於該等氧化物層及該等氮化物層兩者上沉積該SiN之後,在該階梯圖案上沉積該氧化物填充物; 蝕刻該氧化物填充物,以在該氧化物填充物中形成延伸至該鎢字線的垂直通孔,其中該氧化物填充物係相對於該鎢落地襯墊選擇性地受蝕刻; 在該通孔中沉積鎢以形成延伸至該鎢字線的鎢互連。
  11. 如申請專利範圍第10項之處理半導體基板的方法,更包含: 配置該鎢落地襯墊以防止該鎢字線的其中一或更多者被該通孔的其中一或更多者衝穿。
  12. 如申請專利範圍第1項之處理半導體基板的方法,更包含: 選擇性地蝕刻在該階梯圖案之該等氧化物層的側壁上沉積的SiN,而使在水平表面上之SiN的沉積保持實質上未受損壞。
  13. 如申請專利範圍第1項之處理半導體基板的方法,其中該交替的氧化物層及氮化物層之各者的厚度係約10 nm至約100 nm之間。
  14. 如申請專利範圍第10項之處理半導體基板的方法,其中該通孔具有不同的深度。
  15. 一種方法,包含: 設置一基板,該基板具有穿插於氧化物層間而形成階梯結構的氮化物層,其中該等氮化物層的一部分係曝露的; 在對該基板施加偏壓時,藉由高密度電漿化學氣相沉積(HDP CVD)自含矽反應物及含氮反應物在該等氮化物層之曝露部分之平坦表面上沉積氮化矽(SiN);及 移除該階梯結構之該等氧化物層之側壁上所沉積的材料,其係藉由相對於該等氮化物層之曝露部分之平坦表面上的材料選擇性地蝕刻該等側壁上所沉積的材料。
  16. 如申請專利範圍第15項之方法,其中,該含矽反應物及該含氮反應物的沉積係非等向性的。
  17. 如申請專利範圍第15項之方法,其中,蝕刻該等側壁上所沉積的材料之步驟包含使該等側壁曝露於自氫氣(H2 )、含氟(F)氣體、或其組合產生的電漿物種。
  18. 如申請專利範圍第15項之方法,其中,該沉積步驟及該移除該等側壁上所沉積之材料的步驟係同時執行。
  19. 如申請專利範圍第15項之方法,其中,該沉積步驟及該移除該等側壁上所沉積之材料的步驟係序列式地執行。
  20. 一種用於處理基板的設備,該設備包含: 一反應器,其具有容納於其中的一處理腔室; 一電漿源,其與該處理腔室呈流體連通並與其耦接; 一基板底座,其配置在該處理腔室之內,其中該基板底座接收由與其耦接之一電極提供的電能; 進入該處理腔室的一或更多氣體入口及其相關的流量控制硬體,其中複數處理氣體藉由該等氣體入口引入該處理腔室; 一控制器,其配置成控制該反應器,其中該控制器具有一處理器及一記憶體,其中: 該處理器及該記憶體彼此通訊連接; 該處理器與該流量控制硬體至少操作上連接,且 該記憶體儲存用於控制該處理器之電腦可執行的指令,以至少藉由下列者控制該流量控制硬體: (a) 使該等處理氣體進入該處理腔室,該等處理氣體包含: 含矽反應物; 含氮反應物;及 氫氣(H2 ),其中氫氣對該含矽反應物的比率係至少1:2; (b) 在(a)期間對該電極施加偏壓; (c) 在(a)之後,降低施加至該電極的該偏壓;及 (d) 在(c)期間,使氫(H2 )氣體或(F)氣體進入。
TW107126785A 2017-08-04 2018-08-02 在水平表面上氮化矽之選擇性沉積 TW201921429A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762541262P 2017-08-04 2017-08-04
US62/541,262 2017-08-04

Publications (1)

Publication Number Publication Date
TW201921429A true TW201921429A (zh) 2019-06-01

Family

ID=65229828

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107126785A TW201921429A (zh) 2017-08-04 2018-08-02 在水平表面上氮化矽之選擇性沉積

Country Status (6)

Country Link
US (1) US10615169B2 (zh)
JP (1) JP7344867B2 (zh)
KR (1) KR20200028490A (zh)
CN (1) CN110998790A (zh)
TW (1) TW201921429A (zh)
WO (1) WO2019028136A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI762989B (zh) * 2020-03-17 2022-05-01 日商鎧俠股份有限公司 半導體裝置及其製造方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019161094A (ja) 2018-03-15 2019-09-19 東芝メモリ株式会社 半導体メモリ
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
JP7286780B2 (ja) * 2019-02-14 2023-06-05 インテグリス・インコーポレーテッド 窒化ケイ素の選択的堆積
KR20200139526A (ko) 2019-06-04 2020-12-14 삼성전자주식회사 수직형 메모리 장치
US11380697B2 (en) * 2020-02-25 2022-07-05 Tokyo Electron Limited Raised pad formations for contacts in three-dimensional structures on microelectronic workpieces
KR20210117157A (ko) * 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11264404B2 (en) 2020-06-17 2022-03-01 Micron Technology, Inc. Microelectronic devices including a varying tier pitch, and related electronic systems and methods
US11398486B2 (en) * 2020-06-17 2022-07-26 Micron Technology, Inc. Microelectronic devices with tier stacks with varied tier thicknesses, and related methods and systems
US11715692B2 (en) 2020-08-11 2023-08-01 Micron Technology, Inc. Microelectronic devices including conductive rails, and related methods
US11456208B2 (en) 2020-08-11 2022-09-27 Micron Technology, Inc. Methods of forming apparatuses including air gaps between conductive lines and related apparatuses, memory devices, and electronic systems
US11574870B2 (en) 2020-08-11 2023-02-07 Micron Technology, Inc. Microelectronic devices including conductive structures, and related methods
CN114373677A (zh) * 2020-10-14 2022-04-19 长鑫存储技术有限公司 半导体结构的制备工艺及半导体结构
CN112420717A (zh) * 2020-11-18 2021-02-26 长江存储科技有限责任公司 三维存储器及其制造方法
KR20220113048A (ko) * 2021-02-05 2022-08-12 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조 방법
US11594495B2 (en) * 2021-03-23 2023-02-28 Micron Technology, Inc. Microelectronic devices including conductive levels having varying compositions, and related memory devices, electronic systems, and methods

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
JP4376715B2 (ja) 2004-07-16 2009-12-02 三洋電機株式会社 半導体装置の製造方法
US7271110B2 (en) * 2005-01-05 2007-09-18 Chartered Semiconductor Manufacturing, Ltd. High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability
US7211525B1 (en) * 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
JP2008047620A (ja) 2006-08-11 2008-02-28 Mitsubishi Heavy Ind Ltd プラズマ処理方法、及び、プラズマ処理装置
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
JP5394270B2 (ja) 2010-01-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US20110207323A1 (en) 2010-02-25 2011-08-25 Robert Ditizio Method of forming and patterning conformal insulation layer in vias and etched structures
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US8836137B2 (en) 2012-04-19 2014-09-16 Macronix International Co., Ltd. Method for creating a 3D stacked multichip module
JP2013055136A (ja) 2011-09-01 2013-03-21 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US20140187045A1 (en) 2013-01-02 2014-07-03 Applied Materials, Inc. Silicon nitride gapfill implementing high density plasma
US8928149B2 (en) 2013-03-12 2015-01-06 Macronix International Co., Ltd. Interlayer conductor and method for forming
TW201522696A (zh) * 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
JP6267953B2 (ja) 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
KR20150104817A (ko) 2014-03-06 2015-09-16 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR102094470B1 (ko) * 2014-04-08 2020-03-27 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102235046B1 (ko) 2014-07-02 2021-04-05 삼성전자주식회사 3차원 반도체 메모리 장치
US9362186B2 (en) 2014-07-18 2016-06-07 Applied Materials, Inc. Polishing with eddy current feed meaurement prior to deposition of conductive layer
US9391086B1 (en) 2015-02-23 2016-07-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US20160268286A1 (en) 2015-03-11 2016-09-15 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
TWI701357B (zh) 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
JP6498022B2 (ja) * 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
US10115601B2 (en) 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10504901B2 (en) * 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI762989B (zh) * 2020-03-17 2022-05-01 日商鎧俠股份有限公司 半導體裝置及其製造方法

Also Published As

Publication number Publication date
KR20200028490A (ko) 2020-03-16
CN110998790A (zh) 2020-04-10
JP7344867B2 (ja) 2023-09-14
WO2019028136A1 (en) 2019-02-07
US20190043876A1 (en) 2019-02-07
JP2020529736A (ja) 2020-10-08
US10615169B2 (en) 2020-04-07

Similar Documents

Publication Publication Date Title
TW201921429A (zh) 在水平表面上氮化矽之選擇性沉積
US11011388B2 (en) Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
KR102653066B1 (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
TWI619144B (zh) 用於多重圖案化之利用可灰化硬遮罩間隙塡充的影像反轉
TWI673791B (zh) 高深寬比結構中的接觸窗清洗
US11742212B2 (en) Directional deposition in etch chamber
TWI723124B (zh) 硬遮罩之自我限制平坦化加工
CN112640064A (zh) 用于高深宽比蚀刻的含金属钝化
US20210017643A1 (en) Chamfer-less via integration scheme
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
EP4022670A1 (en) High density, modulus, and hardness amorphous carbon films at low pressure
US10224235B2 (en) Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US20220181141A1 (en) Etch stop layer
KR20210011493A (ko) 고 종횡비 구조체들의 효율적인 세정 및 에칭
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
KR20160130709A (ko) 금속 확산 배리어층으로서 비정질 탄소의 매우 선택적인 증착