WO2020028119A1 - Non-selective and selective etching through alternating layers of materials - Google Patents

Non-selective and selective etching through alternating layers of materials Download PDF

Info

Publication number
WO2020028119A1
WO2020028119A1 PCT/US2019/043279 US2019043279W WO2020028119A1 WO 2020028119 A1 WO2020028119 A1 WO 2020028119A1 US 2019043279 W US2019043279 W US 2019043279W WO 2020028119 A1 WO2020028119 A1 WO 2020028119A1
Authority
WO
WIPO (PCT)
Prior art keywords
etch
etching
phase
selective
substrate
Prior art date
Application number
PCT/US2019/043279
Other languages
French (fr)
Inventor
Alan J. Jensen
Shih-Ked Lee
Wook Choi
Samantha SiamHwa Tan
Karthik S. COLINJIVADI
Ran LIN
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Publication of WO2020028119A1 publication Critical patent/WO2020028119A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • Semiconductor fabrication processes can involve fabrication of memory and logic devices. Examples include 3D NAND and DRAM (dynamic random-access memory) applications, as well as logic applications for mid-end-of-line (MEOL) and back-end-of-line (BEOL) processes. Fabrication of memory and logic devices often involves etching features, such as contact holes, on a substrate, which may include multiple layers of material. As device dimensions become smaller, etching features through multiple layers of material becomes more challenging.
  • a method of etching a substrate with alternating layers of a first material and a second material in a reaction chamber includes etching, in a first phase of an etch cycle, at least one or more layers of the first material, where etching in the first phase is performed under first conditions in the reaction chamber that are non-selective between the first material and the second material.
  • the method further includes etching, in a second phase of the etch cycle, at least through a layer of the second material without etching through a layer of the first material, where etching in the second phase is performed under second conditions in the reaction chamber that are selective to the second material against the first material.
  • the method further includes repeating one or more etch cycles to form a plurality of features through the alternating layers of the first material and the second material in the substrate.
  • the first material includes a nitride and the second material includes an oxide.
  • the first material includes polysilicon and the second material includes an oxide.
  • the substrate includes a mask over the alternating layers of the first material and the second material, where the mask is a metal-doped mask.
  • the first conditions include a fluorine- based etchant and a hydrogen-based etchant
  • the second conditions include the fluorine- based etchant without the hydrogen-based etchant.
  • Etching in the first phase of the etch cycle includes flowing the fluorine-based etchant and the hydrogen-based etchant in a gas phase towards the substrate, and generating a plasma of the fluorine-based etchant and the hydrogen-based etchant, and where etching in the second phase of the etch cycle includes flowing the fluorine-based etchant in a gas phase towards the substrate, and generating a plasma of the fluorine-based etchant.
  • a selectivity for the second material against the first material is between about 2: 1 and about 1 : 1, and during etching in the second phase, a selectivity for the second material against the first material is greater than about 7:1.
  • etching in the first phase of the etch cycle etches through one or more layers of the second material and/or at least partially through a layer of the second material.
  • etching in the second phase of the etch cycle removes etch byproducts formed during the first phase of the etch cycle.
  • etching in the first phase and etching in the second phase occur in the reaction chamber without introducing a vacuum break between operations.
  • the method further includes etching, prior to etching in the first phase of the etch cycle, at least partially through a layer of the second material under conditions in the reaction chamber that are selective to the second material against the first material.
  • Another aspect involves an apparatus including a reaction chamber, a substrate support for supporting a substrate in the reaction chamber, the substrate having alternating layers of a first material and a second material, and a controller configured with instructions for performing the following operations: etching, in a first phase of an etch cycle, at least one or more layers of the first material, where etching in the first phase is performed under first conditions in the reaction chamber that are non-selective between the first material and the second material, etching, in a second phase of the etch cycle, at least through a layer of the second material without etching through a layer of the first material, where etching in the second phase is performed under second conditions in the reaction chamber that are selective to the second material against the first material, and repeating one or more etch cycles to form a plurality of features through the alternating layers of the first material and the second material in the substrate.
  • the first material includes a nitride and the second material includes an oxide.
  • the first material includes polysilicon and the second material includes an oxide.
  • each of the plurality of features has a depth to lateral dimension aspect ratio equal to or greater than about 10: 1, where each of the plurality of features has a sidewall roughness equal to or less than about 2.0 nm, where each of the plurality of features is the same or substantially the same in depth, and where the plurality of features have a local critical dimension uniformity (LCDU) equal to or less than about 3.0 nm.
  • the etching in the first phase and etching in the second phase occur in the reaction chamber without introducing a vacuum break between operations.
  • the controller is further configured with instructions for performing the following operation: etching, prior to etching in the first phase of the etch cycle, at least partially through a layer of the second material under conditions in the reaction chamber that are selective to the second material against the first material.
  • Figure 1 shows a schematic diagram of an example plasma processing apparatus for performing cyclic non-selective and selective etching that may be used in some implementations.
  • Figure 2 shows a schematic diagram of an example process tool for performing disclosed implementations.
  • Figure 3 A shows a cross-sectional schematic illustration of an example substrate including a patterned mask and alternating layers of a first material and a second material.
  • Figure 3B shows a cross-sectional schematic illustration of the substrate in Figure 3A after performing a non-selective plasma etch through the alternating layers of the first material and the second material.
  • Figure 4 shows a flow diagram of an example method of etching a substrate with alternating layers of materials according to some implementations.
  • Figure 5A shows a cross-sectional schematic of an example substrate with openings etched through alternating layers of materials following a non-selective etch according to some implementations.
  • Figure 5B shows a top plan view schematic illustration of the substrate in Figure 5A following the non-selective etch.
  • Figure 6A shows a cross-sectional schematic of an example substrate with openings etched through alternating layers of materials following a non-selective etch and a subsequent selective etch according to some implementations.
  • Figure 6B shows a top plan view schematic illustration of the substrate in Figure 6A following the non-selective etch and the subsequent selective etch.
  • Figure 7 shows cross-sectional schematic illustrations an example substrate with alternating layers of materials undergoing multiple etch cycles according to some implementations.
  • Figure 8A shows an SEM image of openings through alternating layers of materials following a non-selective etch process.
  • Figure 8B shows an SEM image of openings through alternating layers of materials following multiple etch cycles each consisting of a selective and a non-selective etch phase.
  • Figure 9A shows an SEM image of openings through alternating layers of materials following a non-selective etch process.
  • Figure 9B shows an SEM image of openings through alternating layers of materials following multiple etch cycles each consisting of a selective and a non-selective etch phase.
  • the terms“semiconductor wafer,”“wafer,”“substrate,” “wafer substrate,” and“partially fabricated integrated circuit” are used interchangeably.
  • the term“partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon.
  • a wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm.
  • the following detailed description assumes the present disclosure is implemented on a wafer. However, the present disclosure is not so limited.
  • the work piece may be of various shapes, sizes, and materials.
  • other work pieces that may take advantage of the present disclosure include various articles such as printed circuit boards and the like.
  • features are etched into stacks of materials.
  • Example applications include but are not limited to logic and memory applications, which include DRAM and 3D NAND applications.
  • the stacks of materials may include one or more layers of dielectric material, and the one or more layers of dielectric material may include alternating layers of materials.
  • An example of alternating layers of materials can include alternating layers of oxide and nitride, or alternating layers of oxide and polysilicon.
  • Features as used herein may refer to a non-planar structure on the substrate, typically a surface being modified in a semiconductor device fabrication operation.
  • features include trenches, contact holes, vias, pads, pillars, domes, and the like.
  • a feature typically has an aspect ratio (depth to lateral dimension).
  • features may describe negative features such as holes, openings, or vias.
  • Etching features of a substrate may be done in a plasma processing apparatus using etch plasmas based on various gases that are useful for etching through various materials.
  • the plasma processing apparatus may include a plasma etch chamber or a plasma reactor. An example of the plasma processing apparatus is shown in Figure 1.
  • FIG. 1 shows a schematic diagram of an example plasma processing apparatus for performing cyclic selective and non-selective etching that may be used in some implementations.
  • a plasma processing apparatus 100 includes a plasma reactor 124 with a container or space for confining plasma therein.
  • the plasma may be generated by a capacitive-discharge type system including a showerhead 114 working in conjunction with a grounded heater block 120.
  • a high frequency (HF) radio frequency (RF) generator 104 and a low frequency (LF) RF generator 102 may be connected to a matching network 106 and to the showerhead 114.
  • the power and frequency supplied by matching network 106 may be sufficient to generate a plasma from process gases supplied to the plasma reactor 124.
  • the matching network 106 may provide 50W to 500W of HFRF power.
  • the matching network 106 may provide 100W to 5000W of FIFRF power and 100W to 5000W of LFRF power total energy.
  • the HFRF component may generally be between 5 MHz to 60 MHz, e.g., 13.56 MHz.
  • the LF component may be from about 100 kHz to 2 MHz, e.g., 430 kHz.
  • a wafer pedestal 118 may support a substrate 116.
  • the wafer pedestal 118 may include a chuck, a fork, or lift pins (not shown) to hold and transfer the substrate during and between the deposition and/or plasma treatment reactions.
  • the chuck may be an electrostatic chuck, a mechanical chuck, or various other types of chuck as are available for use in the industry and/or for research.
  • Various process gases may be introduced via inlet 112.
  • Multiple source gas lines 110 are connected to manifold 108.
  • the gases may be premixed or not.
  • Appropriate valving and mass flow control mechanisms may be employed to ensure that the correct process gases are delivered during the deposition and plasma treatment phases of the process.
  • liquid flow control mechanisms may be employed. Such liquids may then be vaporized and mixed with process gases during transportation in a manifold heated above the vaporization point of the chemical precursor supplied in liquid form before reaching the deposition chamber.
  • Process gases may exit the plasma reactor 124 via an outlet 122.
  • a vacuum pump e.g., a one or two stage mechanical dry pump and/or turbomolecular pump 140, may be used to draw process gases out of the plasma reactor 124 and to maintain a suitably low pressure within the plasma reactor 124 by using a closed-loop-controlled flow restriction device, such as a throttle valve or a pendulum valve.
  • a controller 130 (which may include one or more physical or logical controllers) controls some or all of the operations of the plasma processing apparatus 100.
  • the controller 130 may be configured to control at least the power supply including the HFRF generator 104 and the LFRF generator 102, the showerhead 114, the wafer pedestal 118, the turbomolecular pump 140, and the multiple gas lines 110 and the manifold 108.
  • the controller 130 may include one or more memory devices and one or more processors.
  • the processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components lnstructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller 130 or they may be provided over a network. In certain embodiments, the controller 130 executes system control software.
  • the system control software may include instructions for controlling the timing of application and/or magnitude of any one or more of the following chamber operational conditions: the mixture and/or composition of gases, chamber pressure, chamber temperature, substrate/substr te support temperature, the bias applied to the substrate (which in various implementations may be zero), the frequency and power applied to electrodes or other plasma generation components, substrate position, substrate movement speed, and other parameters of a particular process performed by the tool.
  • System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operations of the process tool components necessary to carry out various process tool processes.
  • System control software may be coded in any suitable compute readable programming language.
  • system control software includes input/output control (IOC) sequencing instructions for controlling the various parameters described herein.
  • each phase of a semiconductor fabrication process may include one or more instructions for execution by the controller 130.
  • the instructions for setting process conditions for a phase may be included in a corresponding recipe phase, for example.
  • the recipe phases may be sequentially arranged, such that steps in an etching process are executed in a certain order for that process phase.
  • a recipe may be configured to perform non-selective etch operations and include one or more selective etch operations performed in between each of the non-selective etch operations.
  • the recipe may be configured to perform alternating non-selective and selective etch operations in a cycle.
  • the controller 130 controls gas concentrations, substrate movement, and/or the power supplied to the electrodes (e g., wafer pedestal 118 and showerhead 114).
  • the controller 130 may control the gas concentration by, for example, opening and closing relevant valves to produce one or more inlet gas streams that provide the necessary reactant(s) at the proper concentration(s).
  • the substrate movement may be controlled by, for example, directing a substrate positioning system to move as desired.
  • the power supplied to the electrodes may be controlled to provide particular RF power levels. Similarly, if an internal grid is used, any RF power applied to the grid may be adjusted by the controller 130.
  • the controller 130 may control these and other aspects based on sensor output (e.g., when power, potential, pressure, etc. reach a certain threshold), the timing of an operation (e.g., opening valves at certain times in a process), or based on received instructions from the user.
  • the controller 130 is configured with instructions for performing one or more of the following operations: etching a substrate 116 in a plasma reactor 124 of a plasma processing apparatus 100, where the substrate 116 has alternating layers of a first material and a second material, and where etching the substrate 116 includes etching, in a first phase of an etch cycle, one or more layers of the first material, where etching in the first phase is performed under first conditions in the plasma reactor 124 that are non-selective between the first material and the second material, etching, in a second phase of the etch cycle, a layer of the second material, where etching in the second phase is performed under second conditions in the plasma reactor 124 that are selective to the second material against the first material, and repeating one or more etch cycles to form a plurality of features through the alternating layers of the first material and the second material in the substrate.
  • Etching in the second phase may etch through a layer of the second material or partially etch through a layer of the second material.
  • the first material includes a nitride or polysilicon and the second material includes an oxide.
  • the controller 130 may be configured to etch in the second phase the layer of the second material without etching the first material.
  • the controller 130 configured with instructions for repeating the one or more etch cycles so that each of the openings has a depth to lateral dimension aspect ratio equal to or greater than about 10: 1, where the plurality of openings have a sidewall roughness equal to or less than about 2.0 nm, where the plurality of openings have the same or substantially the same depth, and where the plurality of openings have a local critical dimension uniformity (LCDU) equal to or less than about 3.0 nm.
  • LCDU local critical dimension uniformity
  • etching in the first phase and etching in the second phase occur in the plasma reactor 124 without introducing a vacuum break between operations.
  • the controller 130 may be further configured with instructions for performing the following operation: etching, prior to etching in the first phase of the etch cycle, at least partially through a layer of the second material under conditions in the plasma reactor 124 that are selective to the second material against the first material.
  • the controller 130 is part of a system, which may be part of the examples described herein.
  • Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a substrate pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the“system controller” or“controller,” which may control various components or subparts of the system or systems.
  • the controller 130 may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, substrate transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • pressure settings e.g., vacuum settings
  • power settings e.g., power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings
  • substrate transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system e.g., temperature settings, pressure settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, substrate transfers into and out of
  • a reaction chamber used for etching may be a FlexTM reaction chamber, for example from the 2300 ® FlexTM product family available from Lam Research Corporation of Fremont, CA. This type of etch reactor is further described in the following U.S. Patents, each of which is herein incorporated by reference in its entirety and for all purposes: U.S. Patent No. 8,552,334, and U.S. Patent No. 6,841,943.
  • a plasma processing apparatus as described above may be part of a multi-station processing tool.
  • Figure 2 shows a schematic diagram of an example process tool for performing disclosed implementations.
  • Figure 2 shows an implementation of a multi-station process tool 200 with an inbound load lock 202 and an outbound load lock 204, either or both of which may include a plasma source.
  • a robot 206 at atmospheric pressure is configured to move wafers from a cassette loaded through a pod 208 into inbound load lock 202 via an atmospheric port 210.
  • a wafer is placed by the robot 206 on a pedestal 212 in the inbound load lock 202, the atmospheric port 210 is closed, and the load lock is pumped down.
  • the wafer may be exposed to plasma to treat the wafer in the load lock prior to being introduced into a processing chamber 214. Further, the wafer also may be heated in the inbound load lock 202 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 216 to processing chamber 214 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the implementation depicted in Figure 2 includes load locks, it will be appreciated that, in some implementations, direct entry of a wafer into a process station may be provided.
  • the depicted processing chamber 214 includes four process stations, numbered from 1 to 4 in the implementation shown in Figure 2. Each station may have a heated pedestal (shown at 218 for station 1), and gas line inlets. It will be appreciated that in some implementations, each process station may have different or multiple purposes. For example, in some implementations, a process station may be switchable between an ALD and plasma- enhanced ALD process mode. In another example, a process station may be switchable between selective and non-selective etching of materials. While the depicted processing chamber 214 includes four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some implementations, a processing chamber may have five or more stations, while in other implementations a processing chamber may have three or fewer stations.
  • Figure 2 depicts an implementation of a wafer handling system 290 for transferring wafers within processing chamber 214.
  • wafer handling system 290 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots.
  • Figure 2 also depicts an implementation of a system controller 250 employed to control process conditions and hardware states of the process tool 200.
  • System controller 250 may include one or more memory devices 256, one or more mass storage devices 254, and one or more processors 252.
  • Processor 252 may include a CPU or computer, analog, and/or digital input/output connections, stepper motor controller boards, etc.
  • system controller 250 controls all of the activities of the process tool 200.
  • System controller 250 executes system control software 258 stored in mass storage device 254, loaded into memory device 256, and executed on processor 252.
  • the control logic may be hard coded in the system controller 250.
  • Applications Specific Integrated Circuits, Programmable Logic Devices e g., field-programmable gate arrays, or FPGAs
  • FPGAs field-programmable gate arrays
  • System control software 258 may include instructions for controlling the timing, mixture of gases, gas flow rates, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by the process tool 200.
  • System control software 258 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components used to carry out various process tool processes.
  • System control software 258 may be coded in any suitable computer readable programming language.
  • the system control software 258 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above.
  • IOC input/output control
  • Other computer software and/or programs stored on mass storage device 254 and/or memory device 256 associated with the system controller 250 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
  • a substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 218 and to control the spacing between the substrate and other parts of the process tool 200.
  • a process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station.
  • a pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.
  • a heater control program may include code for controlling the current to a heating unit that is used to heat the substrate.
  • the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.
  • a plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations in accordance with the embodiments herein.
  • a pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the embodiments herein.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • parameters adjusted by system controller 250 may relate to process conditions.
  • Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), pressure, etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 250 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of the process tool 200.
  • process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • System controller 250 may provide program instructions for implementing the etching processes described herein.
  • the etching processes may include one or more etch cycles each including non-selective and selective etch phases.
  • the program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc.
  • the instructions may control the parameters to operate etching of alternating layers of materials according to various embodiments described herein.
  • a controller or system controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the etching of one or more layers of materials of a substrate.
  • the controller in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the substrate processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g.
  • a server can provide process recipes to a system over a network, which may include a local network or the Internet.
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, a metrology chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of substrates to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • Semiconductor fabrication processes may involve etching through alternating layers of materials to form high aspect ratio features. Alternating layers of materials may be characteristic of semiconductor devices such as memory and logic devices.
  • the high aspect ratio features may subsequently serve as electrical contacts in a memory or logic device.
  • the high aspect ratio features may take the shape of an opening, contact hole, slit, recess, or trench.
  • High aspect ratio features may be formed using a plasma-based etch.
  • a high aspect ratio feature is one having a depth to lateral dimension aspect ratio of at least about 5: 1, at least about 10: 1, at least about 15: 1, at least about 20: 1, at least about 30: 1, at least about 40: 1, at least about 50: 1, or at least about 100: 1.
  • features having a high aspect ratio may be at least 10: 1.
  • the lateral dimension may be a width or diameter at the top of a feature.
  • One or more problems may arise during plasma-based etching of high aspect ratio features.
  • One problem that arises is a non-uniform etch profile. In other words, the features are not etched in a straight downward direction. Instead, the etch profile is twisted and has striations, resulting in an uneven profile that gets more pronounced towards the bottom of the features. This causes surface or sidewall roughness that can be detrimental to device performance.
  • Another problem that arises is depth non-uniformity among features. For example, holes may be formed at different depths and hole-to-hole depth variation gets exacerbated at greater depths. This is due in part to the fact that selectivity through different materials is usually not perfectly 1 : 1 and that features are often different sizes, resulting in different etch rates among features.
  • Each of the features may have a critical dimension (CD), which may refer to the size of the smallest geometrical dimension (e.g., diameter) of the feature.
  • CD critical dimension
  • Non-uniform etch profiles with twisting, striations, waviness, and surface roughness may cause variations in local CD, thereby adversely impacting the local CD uniformity among features.
  • the alternating layers of materials may include one or more layers of dielectric materials.
  • the alternating layers of materials may be alternating layers of a first material and a second material.
  • the first material may be nitride or poly silicon
  • the second material may be oxide.
  • first material and the second material may be referenced interchangeably in the present disclosure, and so the first material may be oxide and the second material may be nitride or polysilicon in some implementations.
  • Alternating layers of oxide and nitride may be referred to as an ONON stack and alternating layers of oxide and polysilicon may be referred to as an OPOP stack, either of which may have many layers.
  • the number of layers may be 80 layers or higher or 100 layers or higher. Lower numbers of layers may also be used, such as 80, 40, 20, 10, or fewer.
  • the layers may each have a thickness on the order of tens of nanometers.
  • FIG. 3A shows a cross-sectional schematic illustration of an example substrate including a patterned mask and alternating layers of a first material and a second material.
  • a substrate 300 includes a film stack 304 having multiple layers of a first material 312 and multiple layers of a second material 316 altematingly disposed or interleaved between the multiple layers of the first material 312.
  • the layers of the first material 312 can include a nitride such as silicon nitride.
  • the layer of the first material 312 can include poly silicon.
  • the layer of the second material 316 can include an oxide such as silicon oxide.
  • each of the layer of the first material 312 and the layer of the second material 316 can have a thickness between about 10 nm and about 100 nm, between about 15 nm and about 50 nm, or between about 25 nm and about 35 nm.
  • the film stack 304 may be disposed on or over a base layer 308 that includes a substrate material.
  • the base layer 308 and the film stack 304 may be part of a device structure such as a memory device structure.
  • the substrate 300 further includes a mask 320 that may be disposed over the film stack 304.
  • the mask 320 may be patterned with one or more openings.
  • the mask 320 may be patterned using conventional photolithography techniques.
  • the mask 320 may be patterned to define where features are to be etched.
  • the mask 320 may be a carbon-containing mask that includes one or more polymers.
  • the mask 320 may be an amorphous carbon mask or carbon hard mask.
  • the mask 320 may be a silicon-containing mask.
  • the mask 320 may be a polysilicon mask.
  • the mask 320 may be a metal- doped mask that includes one or more metals.
  • the mask 320 may be a tungsten- containing mask where the tungsten-containing mask can include tungsten oxide (WO x ), tungsten nitride (WN), or tungsten carbide (WC).
  • the mask 320 may be a titanium nitride (TiN) mask.
  • Other masks may be used, and additional mask layers may be used above the mask 320.
  • the composition of the mask 320 may depend on the application of the device structure or the device requirements.
  • Figure 3B shows a cross-sectional schematic illustration of the substrate in Figure 3A after performing a non-selective plasma etch through the alternating layers of the first material 312 and the second material 316.
  • the substrate 300 includes a plurality of features 310 etched through the layers of the first material 312 and the layers of the second material 316.
  • Each of the etched features 310 may have a shape of a cylinder, though it will be understood that each of the etched features 310 may have alternative geometric shapes such as rectangles, squares, or other polygonal recesses, trenches, etc.
  • the plurality of features 310 may be recesses, holes, or openings that extend through one or more layers of the film stack 304, where the plurality of features 310 are defined by the mask 320.
  • the plurality of features 310 may be high aspect ratio features with a depth to lateral dimension aspect ratio of at least about 10: 1, at least about 20: 1, at least about 30: 1, at least about 40: 1, at least about 50: 1, or at least about 100: 1.
  • the aspect ratio may compare depth of a feature against a critical dimension of the feature (often its width or diameter). For example, a cylindrical hole having a depth of 2 pm and a diameter of 50 nm has an aspect ratio of 40: 1.
  • the critical dimension of each of the plurality of features 310 may be less than about 200 nm, less than about 100 nm, or between about 2 nm and about 50 nm.
  • the plurality of features 310 may be formed using a plasma-based etch, where the plasma-based etch is a non-selective etch.
  • the non-selective etch may be non-selective between at least the layers of the first material 312 and the layers of the second material 316.
  • a non-selective etch has a chemistry that etches through the first material 312 and the second material 316 at an etch rate that is the same or substantially similar.
  • a non-selective etch has a selectivity for etching the first material 312 against the second material 316, or vice versa, that is between about 2: 1 and about 1 : 1, between about 1.5 and about 1 : 1, between about 1.25 and about 1 : 1, or about 1 : 1.
  • the non-selective etch may have a chemistry that includes one or more fluorocarbons (C x F y ).
  • one or more co-reactants may also be provided.
  • the non-selective etch may have a chemistry that includes a combination of fluorocarbons and oxygen (0 2 ).
  • a chemistry can include C 4 Fg C 4 F 6 , N 2 , CO, CF 4 , and 0 2.
  • Another example of such a chemistry can include C 4 F 8 , C 4 F 6 , CH 2 F 2 , COS, and 0 2 .
  • Other chemistries may be used.
  • the non-selective etch may have a chemistry that includes a fluorine- based etchant such as nitrogen trifluoride (NF 3 ).
  • the non-selective etch process may etch through multiple layers of the first material 312 and multiple layers of the second material 316 to a desired depth to form the plurality of features 310.
  • the total etch depth may depend on the particular application. For some cases, (e.g., DRAM), the total etch depth for each of the plurality of features 310 may be between about 1.5 pm and about 2.0 pm. For other cases (e.g., 3D NAND), the total etch depth for each of the plurality of features 310 may be between about 3.0 pm and about 5.0 pm. However, as shown in Figure 3B, the total etch depth may vary for the plurality of features 310.
  • aspects of the present disclosure relate to etching alternating layers of materials using an etch process that combines non-selective etch chemistries and selective etch chemistries.
  • the etch process can include multiple cycles of non-selective etch and selective etch processes. Each cycle can alternate between non-selective and selective etch processes.
  • the non-selective etch process can etch through a first material and a second material of the alternating layers of materials.
  • the non-selective etch process can be controlled to etch through one or more layers of the first material and at least partially through a layer of the second material.
  • the selective etch process can etch through a remaining layer of the second material and stop on a layer of the first material.
  • Cycles of non-selective etch and selective etch processes can be repeated to form a plurality of high aspect ratio features at a desired depth in the substrate.
  • the high aspect ratio features may have substantial depth uniformity, minimal sidewall or hole roughness, and excellent local critical dimension uniformity.
  • Figure 4 shows a flow diagram of an example method of etching a substrate with alternating layers of materials in a reaction chamber according to some implementations.
  • the substrate may include alternating layers of a first material and a second material.
  • the operations in a process 400 may be performed in different orders and/or with different, fewer, or additional operations.
  • the substrate is optionally provided in the reaction chamber.
  • the substrate includes alternating layers of the first material and the second material.
  • the substrate can include a plurality of layers of the first material and a plurality of layers of the second material altematingly disposed or interleaved between the layers of the first material.
  • the alternating layers of the first material and the second material can form a film stack in the substrate.
  • the alternating layers may include one or more layers of dielectric material.
  • Example dielectric materials include silicon oxides, silicon nitrides, silicon carbides, oxycarbides, carbonitrides, doped versions of these materials, and combinations thereof.
  • the first material includes a nitride and the second material includes an oxide, or vice versa.
  • the alternating layers of the first material and the second material can be alternating layers of silicon nitride and silicon oxide to form an ONON stack.
  • the first material includes poly silicon and the second material includes an oxide, or vice versa.
  • the alternating layers of the first material and the second material can be alternating layers of polysilicon and oxide to form an OPOP stack.
  • the alternating layers of the first material and the second material can have several layers.
  • the number of layers can be at least 10, at least 20, at least 30, at least 40, at least 50, at least 60, at least 70, or at least 80 layers.
  • the layers of the first material can each have a thickness between about 10 nm and about 100 nm, between about 15 nm and about 50 nm, or between about 25 nm and about 35 nm.
  • the layers of the second material can each have a thickness between about 10 nm and about 100 nm, between about 15 nm and about 50 nm, or between about 25 nm and about 35 nm.
  • the substrate can include a mask overlying the alternating layers of the first material and the second material, where the mask is patterned to define where features are to be etched.
  • the mask is a carbon-containing mask such as an amorphous carbon mask.
  • the mask is a silicon-containing mask such as a polysilicon mask.
  • the mask is a metal-doped mask such as a tungsten-containing mask In some implementations, the mask has a thickness between about 100 nm and about 2000 nm.
  • the reaction chamber may be a plasma etch chamber that is part of a tool described earlier herein in Figures 1 and 2.
  • the substrate may be provided on a substrate support or pedestal in the reaction chamber.
  • a reaction chamber used for etching (and in some cases deposition) may be a FlexTM reaction chamber, for example from the 2300 ® FlexTM product family available from Lam Research Corporation of Fremont, CA.
  • At block 420 of the process 400 at least one or more layers of the first material are etched in a first phase of an etch cycle, where etching in the first phase is performed under first conditions in the reaction chamber that are non-selective between the first material and the second material.
  • At least one layer of the second material is etched and/or is at least partially etched.
  • the first phase of the etch cycle performs a non- selective etch process that etches the first material and the second material at the same or substantially similar etch rate.
  • the first conditions in the reaction chamber have a selectivity for the first material against the second material, or vice versa, that is between about 2: 1 and about 1 : 1, between about 1.5: 1 and about 1 : 1, between about 1.25: 1 and 1 : 1, or about 1 : 1.
  • the first conditions in the reaction chamber may include an etch chemistry that is able to etch the first material and the second material in a non-selective manner.
  • the etch chemistry used to etch the first material and the second material may be a fluorine-based chemistry.
  • the fluorine-based chemistry can include one or more fluorocarbons (C x F y ) and/or nitrogen trifluoride (NF 3 ).
  • NF 3 nitrogen trifluoride
  • One or more co-reactants may also be provided.
  • the etch chemistry under the first conditions in the reaction chamber may include C 4 F 8 C F 6 , N 2 , CO, CF 4 , and 0 2 .
  • the fluorine-based chemistry in the first conditions may include a fluorine-based etchant such as a fluorocarbon and a hydrogen-based etchant such as a fluoromethane (CH x F y ), methane (CH 4 ), or hydrogen (H 2 ).
  • a fluorine-based etchant such as a fluorocarbon
  • a hydrogen-based etchant such as a fluoromethane (CH x F y ), methane (CH 4 ), or hydrogen (H 2 ).
  • the fluorine-based etchant can include C 4 F 8 , C 4 F 6 , CF 4 , or combinations thereof
  • the hydrogen-based etchant can include CH 3 F, CH 2 F 2 , CHF 3 , CH 4 , H 2 , or combinations thereof.
  • the etch chemistry under the first conditions in the reaction chamber may include C 4 F 8 , C 4 F 6 , CH 2 F 2 , COS, and 0 2 .
  • Other etch chemistries may be used in the first conditions in the reaction chamber to achieve a non-selective etch.
  • C 4 Fr, and C 4 F 8 are used, the flow rate of C 4 F 6 may be between about 10 seem and about 200 seem, and the flow rate of C 4 F 8 may range between about 10 seem and about 200 seem.
  • the flow rate of 0 2 may be between about 0 seem and about 500 seem.
  • etching in the first phase of the etch cycle includes flowing the fluorine-based etchant and the hydrogen-based etchant in a gas phase towards the substrate.
  • Etching in the first phase of the etch cycle further includes generating a plasma of the fluorine-based etchant and the hydrogen-based etchant to form plasma activated fluorine- based species and plasma activated hydrogen-based species.
  • the plasma turns the etchants into neutral species, radical species, ion species, or other charged species.
  • the substrate is exposed to the plasma to remove the one or more layers of the first material, and in some implementations, at least partially a layer of the second material.
  • processing conditions such as chamber pressure, substrate temperature, gas flow rates, plasma exposure time, plasma power, plasma frequency, duty cycle, and other settings may be controlled.
  • the substrate temperature during etching under the first conditions may be between about 20°C and about 300°C or between about 30°C and about 200°C.
  • the chamber pressure during etching under the first conditions may be between about 1 mTorr and about 200 mTorr or between about 5 mTorr and about 80 mTorr.
  • plasma sources including RF, DC, and microwave-based plasma sources.
  • a downstream RF plasma source is used.
  • the RF plasma power for a 300-mm substrate during etching under the first conditions can range between about 300 W and about 10 kW, or between about 2 kW and about 5 kW.
  • the RF power may include a first frequency component (e.g., 400 kHz) and a second frequency component (e.g., 60 MHz), which may be a low frequency component and a high frequency component.
  • a first frequency component e.g. 400 kHz
  • a second frequency component e.g., 60 MHz
  • Different powers may be provided at each frequency component.
  • the first frequency component may be provided at a power between about 3 kW and about 24 kW
  • the second frequency component may be provided at a lower power such as between about 0.5 kW and about 10 kW.
  • three different frequencies of RF power are used to generate the plasma
  • Etching during the first phase of the etch cycle produces a plurality of features that extend partially through the alternating layers of the first material and the second material to a fraction of a desired depth for the plurality of features.
  • etch during the first phase of the etch cycle may reach between about 30 nm and about 1000 nm or between about 50 nm and about 500 nm in depth.
  • the first phase of the etch cycle may etch through at least two, three, four, or five layers of the first material and at least through one, two, three, or four layers of the second material.
  • the first phase of the etch cycle may etch partially through a layer of the second material before stopping.
  • the first phase of the etch cycle may be controlled to stop upon reaching a layer of the second material or after partially etching a layer of the second material.
  • the first phase of the etch cycle may be controlled to not stop upon partially etching a layer of the first material.
  • the non-selective etch chemistry may cause certain polymer species and etch byproducts to deposit on sidewalls and surfaces of the plurality of features.
  • reactions with the etchant and the mask material may deposit the polymer species and etch byproducts on sidewalls and a bottom surface of the features.
  • the polymer species and etch byproducts may include one or more polymers, fluorinated polymer byproducts, and metal -impregnated polymers (e.g., tungsten-impregnated polymers) where a metal-doped mask is used.
  • the polymer species and etch byproducts may be deposited as debris/fragments along sidewalls and the bottom surface of the plurality of features. Some of the polymer species and etch byproducts may have higher sticking coefficients on certain materials than other materials, resulting in greater etch profile non-uniformity. More polymer species and etch byproducts can build up with longer etch times and greater depths.
  • Figure 5A shows a cross-sectional schematic of an example substrate with openings etched through alternating layers of materials following a non-selective etch according to some implementations.
  • Figure 5B shows a top plan view schematic illustration of the substrate in Figure 5A following the non-selective etch.
  • a substrate 500 includes a plurality of layers of a first material 512 and a plurality of layers of a second material 516 alternatingly disposed or interleaved between the plurality of layers of the first material 512.
  • the substrate 500 further includes a mask 520 overlying the plurality of layers of the first material 512 and the plurality of layers of the second material 516.
  • the first material 512 can be a nitride material such as silicon nitride.
  • the second material 516 can be an oxide material such as silicon oxide.
  • the mask 520 can be an organic mask or metal-doped mask.
  • openings 510 may be defined by the mask 520.
  • the openings 510 may be formed by performing a non-selective etch that etches through a top layer of the second material 516, a top layer of the first material 512, and partially through a layer of the second material 516.
  • the openings 510 are not formed to the same depth.
  • the openings 510 may be formed by performing a selective etch that etches through the top layer of the second material 516 followed by a non-selective etch that etches through the top layer of the first material 512 and partially through the layer of the second material 516.
  • Etch byproducts 504 are formed at a bottom surface and along sidewalls of the openings 510.
  • the etch byproducts 504 may include one or more polymers such as fluorinated polymers and/or metal-impregnated polymers. As shown in Figures 5A and 5B, the etch byproducts 504 may be non-uniformly deposited along the sidewalls and bottom surface of the openings 510. Non-uniform deposits of etch byproducts 504 add to the sidewall roughness, etch profile non-uniformity, and/or non-uniformity in local critical dimensions. In Figure 5B, the etch byproducts 504 are deposited along sidewalls of the openings 510 to create an irregular, wavy pattern.
  • At block 430 of the process 400 at least a layer of the second material is etched through in a second phase of the etch cycle, where etching in the second phase is performed under second conditions in the reaction chamber that are selective to the second material against the first material.
  • a remainder of the partially etched layer of the second material from the first phase of the etch cycle is etched.
  • the layer of the second material is etched without etching or substantially etching the first material.
  • the layer of the second material is etched while stopping on an underlying layer of the first material.
  • the second phase of the etch cycle performs a selective etch process that etches the second material at a substantially faster etch rate than the first material.
  • a substantially faster etch rate for the second material can be at least three times, at least five times, at least seven times, at least ten times, or at least fifty times greater than the etch rate of the first material.
  • the second conditions in the reaction chamber have a selectivity for the second material against the first material that is greater than about 5: 1, greater than about 7: 1, greater than about 10: 1, or greater than about 50: 1. It will be understood that with the presence of polymer species and etch byproducts at the onset of the second phase of the etch cycle, the etch selectivity may be initially skewed.
  • the etch selectivity for the second material against the first material may be between 5 : 1 and 10: 1 during an initial second, but then the etch selectivity may reach a steady state that is greater than about 100: 1, greater than about 500: 1, or even approaching infinity during a remainder of the second phase of the etch cycle.
  • the second conditions in the reaction chamber may include an etch chemistry that is able to etch the second material without etching or substantially etching the first material in a selective manner.
  • the etch chemistry used to selectively etch the second material may be a fluorine-based chemistry.
  • the fluorine-based chemistry can include one or more fluorocarbons (C x F y ) and/or nitrogen trifluoride (NF 3 ).
  • NF 3 nitrogen trifluoride
  • One or more co-reactants may also be provided.
  • the etch chemistry includes a fluorine-based etchant and no hydrogen-based etchant.
  • the etch chemistry during the second phase of the etch cycle can include the fluorine-based etchant from the first phase without the hydrogen- based etchant from the first phase.
  • the fluorine-based etchant can include C 5 F 8 , C 4 F 8 , C 4 F 6 , CF 4 , or combinations thereof.
  • the etch chemistry can further include 0 2 .
  • the etch chemistry does not include CH 2 F 2 or COS.
  • Other etch chemistries under the second conditions in the reaction chamber may be used to achieve a selective etch.
  • the flow rate of C 4 F 6 may be between about 10 seem and about 200 seem, and the flow rate of C 4 F 8 may range between about 10 seem and about 200 seem.
  • the flow rate of 0 2 may be between about 0 seem and about 500 seem.
  • etching in the second phase of the etch cycle includes flowing the fluorine-based etchant in a gas phase towards the substrate.
  • Etching in the second phase of the etch cycle further includes generating a plasma of the fluorine-based etchant to form plasma activated fluorine-based species.
  • No hydrogen-based etchant is flowed during the second phase of the etch cycle.
  • the plasma turns the etchant into neutral species, radical species, ion species, or other charged species.
  • the substrate is exposed to the plasma to remove the layer of the second material without removing a layer of the first material.
  • processing conditions such as chamber pressure, substrate temperature, gas flow rates, plasma exposure time, plasma power, plasma frequency, duty cycle, and other settings may be controlled.
  • the processing conditions in the second conditions may be the same as the first conditions except for the etch chemistry.
  • the substrate temperature during etching under the second conditions may be between about 20°C and about 300°C or between about 30°C and about 200°C.
  • the chamber pressure during etching under the second conditions may be between about 1 mTorr and about 200 mTorr or between about 5 mTorr and about 80 mTorr.
  • the RF plasma power for a 300-mm substrate during etching under the second conditions can range between about 300 W and about 10 kW, or between about 2 kW and about 5 kW.
  • the first frequency component e g., low frequency such as 400 kHz
  • the second frequency component e.g., high frequency such as 60 MHz
  • the second frequency component may be provided at a lower power such as between about 0.5 kW and about 10 kW.
  • Etching during the second phase of the etch cycle may extend the depth of the plurality of features through the alternating layers of the first material and the second material. Etching during the second phase of the etch cycle does not etch more than one layer of the alternating layers of the first material and the second material.
  • the second phase of the etch cycle etches through a layer of the second material or a remainder of the layer of the second material, and stops on an underlying layer of the first material. After the second phase of the etch cycle, the depth of each of the plurality of features is the same or substantially the same because the etch stops on the underlying layer of the first material.
  • the term“substantially the same” with respect to the depth of each of the plurality of features refers to depth values within plus or minus 2 percent of a stated value.
  • the second phase of the etch cycle is self-limiting and allows the plurality of features to catch up to one another in terms of depth.
  • the selective etch chemistry may cause certain polymer species and etch byproducts to deposit on sidewalls and surfaces of the plurality of features.
  • an amount of the polymer species and etch byproducts deposited from the second phase is less than an amount deposited from the first phase of the etch cycle. In other words, fewer polymer species and etch byproducts are deposited from the second phase of the etch cycle.
  • etching during the second phase of the etch cycle may clean up debris/fragments from the deposited polymer species and etch byproducts, making such deposited species on the sidewalls and surfaces of the plurality of features more uniform compared to deposited species from the first phase of the etch cycle.
  • the etch removes deposited polymer species and etch byproducts at the bottom surfaces of the plurality of features, and the etch continues laterally to remove debris/fragments of some of the polymer species and etch byproducts deposited along sidewalls of the plurality of features.
  • the selective etch process also removes some polymer species and etch byproducts deposited from the first phase of the etch cycle.
  • etching in the first phase and etching in the second phase occur in the reaction chamber without introducing a vacuum break in between operations.
  • the use of a single reaction chamber for performing non-selective and selective etch processes may increase throughput, minimize fabrication complexity, and reduce fabrication costs associated with forming the plurality of features in the substrate.
  • Processing conditions may be the same or relatively similar in the non-selective and selective etch processes.
  • the etch chemistry may be different in the non-selective and selective etch processes. However, the difference in etch chemistry between the non-selective and selective etch processes may be limited to facilitate ease of transition between the operations.
  • a non-selective etch chemistry can have one or more fluorocarbons, fluoromethanes, and COS and a selective etch chemistry can have the same chemistry except without the one or more fluoromethanes and COS.
  • Figure 6A shows a cross-sectional schematic of an example substrate with openings etched through alternating layers of materials following a non-selective etch and a subsequent selective etch according to some implementations.
  • Figure 6B shows a top plan view schematic illustration of the substrate in Figure 6A following the non-selective etch and the subsequent selective etch.
  • a substrate 600 includes a plurality of layers of a first material 612 and a plurality of layers of a second material 616 altematingly disposed or interleaved between the plurality of layers of the first material 612.
  • the substrate 600 further includes a mask 620 overlying the plurality of layers of the first material 612 and the plurality of layers of the second material 616.
  • the first material 612 can be a nitride material such as silicon nitride.
  • the second material 616 can be an oxide material such as silicon oxide.
  • the mask 620 can be an organic mask or metal-doped mask.
  • openings 610 may be defined by the mask 620.
  • a non-selective etch is performed that etches through a top layer of the second material 616, a top layer of the first material 612, and partially through a layer of the second material 616 as shown in Figures 6A and 6B.
  • the openings 610 are formed by further performing a selective etch following the non-selective etch that etches through a remainder of the layer of the second material 616 and stops on an underlying layer of the first material 612.
  • the openings 610 may be formed by performing a selective etch that etches through the top layer of the second material 616 followed by a non-selective etch that etches through the top layer of the first material 612 and partially through the layer of the second material 616.
  • Etch byproducts 604 are formed along sidewalls of the openings 610.
  • the etch byproducts 604 may include one or more polymers such as fluorinated polymers and/or metal -impregnated polymers. As shown in Figures 6A and 6B, the etch byproducts 604 may be uniformly deposited along the sidewalls of the openings 610.
  • the etch byproducts 604 formed from the first phase of the etch cycle are“cleaned up” or otherwise removed. Some of the etch byproducts 604 are formed from the second phase of the etch cycle and passivate the sidewalls of the openings 610.
  • the non- selective etch chemistry adds more polymerizing materials on the bottom surfaces and sidewalls of openings 610 after the first phase of the etch cycle than the selective etch chemistry after the second phase of the etch cycle. As the selective etch chemistry proceeds downwards and laterally, it removes some of the polymerized materials formed from the non- selective etch chemistry on the bottom surfaces and sidewalls of the openings 610.
  • the remaining etch byproducts 604 form a relatively uniform coating along the sidewalls of the openings 610, which can be seen in Figure 6B.
  • the relatively uniform coating of the etch byproducts 604 serves to passivate the sidewalls of the openings 610.
  • the relatively uniform coating of the etch byproducts 604 decreases sidewall roughness, improves etch profile uniformity, and improves local critical dimension uniformity.
  • the second phase of the etch cycle may last for a duration to sufficiently etch the layer of the second material but not over-etch any underlying or surrounding layers. Over-etch can lead to bowing in the features caused by lateral etching of certain regions during the second phase of the etch cycle.
  • the duration of the second phase of the etch cycle may be optimized to etch the layer of the second material, limit bowing, and clean up at least some debris/fragments of polymer species and etch byproducts.
  • the duration of the second phase of the etch cycle may depend on the materials and aspect ratio of the features. Other processing conditions and settings can influence optimization of the duration of the second phase of the etch cycle.
  • the duration of the second phase of the etch cycle may be between about 2 seconds and about 20 seconds or between about 5 seconds and about 10 seconds. In some implementations, the duration can be determined empirically or using appropriate endpoint technology.
  • one or more etch cycles are repeated to form a plurality of features through the alternating layers of the first material and the second material in the substrate.
  • Each etch cycle has the first phase and the second phase that is repeated until a desired or final depth is reached.
  • the final depth can be between about 1 pm and about 10 pm, between about 2 pm and about 6 pm, or between about 3 pm and about 5 pm.
  • Several etch cycles may be repeated to reach the final depth. In some implementations, at least 5 etch cycles, at least 10 etch cycles, at least 20 etch cycles, or at least 30 etch cycles are repeated until the final depth is reached.
  • Each etch cycle includes or consists of a selective and a non-selective etch phase. Each etch cycle may etch through at least a pair of layers in a film stack or multiple pairs of layers in the film stack. [0089]
  • the duration of each phase of the etch cycle can change as the depth increases. This may be due in part to the increasing aspect ratio of the features with an increasing number of etch cycles. In some implementations, the duration of the first phase of the etch cycle becomes shorter with an increasing number of etch cycles, and the duration of the second phase of the etch cycle becomes longer with an increasing number of etch cycles.
  • Etch cycles are repeated to not only achieve a desired depth for the plurality of features, but etch cycles are repeated to minimize sidewall roughness.
  • Sidewall roughness which may also be referred to as hole roughness, may be characterized by deviations from linearity.
  • sidewall roughness may be calculated as the difference of the standard shape and the detected edge. For example, by using N points of edge position from a profile, the length from a center“r” will be Fourier Transformed and N numbers of Fourier Coefficient are calculated, and the standard shape is calculated by approximating n numbers of low frequency components of the calculated Fourier Coefficient.
  • the plurality of features have a sidewall roughness equal to or less than about 2.0 nm for a given inspection length.
  • Etch cycles are repeated to also achieve depth uniformity among the plurality of features.
  • the plurality of features have a depth that is the same or substantially similar.
  • etch cycles are repeated to improve local critical dimension uniformity (LCDU) among the plurality of features.
  • LCDU is the feature-to-feature CD variation on a local scale, defined as 3s value of the CD distribution. By reducing striations, twisting, waviness, and surface roughness in the features, the LCDU improves.
  • the plurality of features have an LCDU that is equal to or less than about 3.0 nm.
  • the process 400 may further include etching, prior to etching in the first phase of the etch cycle, at least partially through a layer of the second material under conditions in the reaction chamber that are selective to the second material against the first material.
  • the non-selective etch performed at block 420 may be preceded by a selective etch.
  • the selective etch may etch through the layer of the second material (e.g., oxide) and stop on an underlying layer of the first material (e.g., nitride). Conditions for performing the selective etch are described at block 430 of the process 400.
  • Figure 7 shows cross-sectional schematic illustrations of an example substrate with alternating layers of materials undergoing multiple etch cycles according to some implementations.
  • the substrate 700 includes a plurality of layers of a first material 712 and a plurality of layers of a second material 716 altematingly disposed or interleaved between the plurality of layers of the first material 712.
  • the substrate 700 includes a mask 720 overlying the plurality of layers of the first material 712 and the plurality of layers of the second material 716.
  • the first material 712 includes a nitride and the second material 716 includes an oxide.
  • the mask 720 can be an organic mask or a metal-doped mask.
  • step (a) of Figure 7 a plurality of openings 710 are formed through a top layer of the plurality of layers of the second material 716, where the plurality of openings 710 are defined by the mask 720.
  • the plurality of openings 710 stop on a top layer of the plurality of layers of the first material 712. Accordingly, step (a) of Figure 7 shows the substrate 700 following a selective etch that removes a layer of the second material 716 without removing a layer of the first material 712. Etch byproducts may be formed along sidewalls of the openings 710.
  • a pair of layers from the alternating layers of the first material 712 and the second material 716 are etched.
  • a layer of the first material 712 underlying the top layer of the second material 716 is etched, and a layer of the second material 716 underlying the layer of the first material 712 is etched.
  • Etching the pair of layers from the alternating layers of the first material 712 and the second material 716 may involve an etch cycle having a non-selective etch and a selective etch. The etch cycle stops on a layer of the first material 712.
  • step (c) of Figure 7 another pair of layers from the alternating layers of the first material 712 and the second material 716 are etched.
  • An etch cycle having a non-selective etch and a selective etch is repeated following the previous etch cycle shown in step (b).
  • the etch cycle stops on a layer of the first material 712.
  • step (d) of Figure 7 multiple pairs of layers from the alternating layers of the first material 712 and the second material 716 are etched. Multiple etch cycles each having a non-selective etch and a selective etch are repeated following the etch cycles shown in steps (b) and (c). Etch cycles are repeated until a desired or final depth of the openings 710 is achieved. Etch byproducts may be formed along sidewalls of the openings 710.
  • Figure 8A shows an SEM image of openings through alternating layers of materials following a non-selective etch.
  • the etch chemistry includes C4F8, C4F6, CH2F2, COS, O2, and Kr.
  • the hole diameter is about 80 nm.
  • Wafer temperature is set at about 40°C and the chamber pressure is about 15 mTorr.
  • the alternating layers of materials consist of alternating layers of silicon oxide and silicon nitride.
  • a non-selective etch is performed to form a plurality of openings through the alternating layers of materials to a depth of about 5.4 pm. An average sidewall roughness within three standard deviations for the openings is measured to be about 3.0 nm.
  • Figure 8B shows an SEM image of openings through alternating layers of materials following multiple etch cycles each including or consisting of a selective etch and non- selective etch.
  • the etch chemistry includes C 4 F 8 , C 4 F 6 , CH 2 F 2 , COS, 0 2 , and Kr during the non-selective etch and C 4 F 8 , C 4 F 6 , 0 2 , and Kr during the selective etch.
  • the hole diameter is about 80 nm.
  • Wafer temperature is set at about 40°C and the chamber pressure is about 15 mTorr.
  • the alternating layers of materials consist of alternating layers of silicon oxide and silicon nitride.
  • etch cycles each consisting of a selective etch and a non-selective etch are performed to form a plurality of openings through the alternating layers of materials to a depth of about 5.4 pm.
  • An average sidewall roughness within three standard deviations for the openings is measured to be about 1.2 nm. Accordingly, the etch process of the present disclosure can improve sidewall roughness from 3.0 nm to 1.2 nm.
  • Figure 9A shows an SEM image of openings through alternating layers of materials following a non-selective etch.
  • the etch chemistry includes C 4 F 8 , C 4 F 6 , CH 2 F 2 , COS, 0 2 , and Kr.
  • the hole diameter is about 80 nm.
  • Wafer temperature is set at about 40°C and the chamber pressure is about 15 mTorr.
  • the alternating layers of materials consist of alternating layers of silicon oxide and silicon nitride.
  • a non-selective etch is performed to form a plurality of openings through the alternating layers of materials. As shown in Figure 9A, the depths of the plurality of openings vary as a result of the non-selective etch. In other words, the non-selective etch creates depth variation among the plurality of openings.
  • Figure 9B shows an SEM image of openings through alternating layers of materials following multiple etch cycles each including or consisting of a selective etch and a non- selective etch.
  • the etch chemistry includes C 4 F 8 , C 4 F 6 , CH 2 F 2 , COS, 0 2 , and Kr during the non-selective etch and C 4 F 8 , C 4 F 6 , 0 2 , and Kr during the selective etch.
  • the hole diameter is about 80 nm.
  • Wafer temperature is set at about 40°C and the chamber pressure is about 15 mTorr.
  • the alternating layers of materials consist of alternating layers of silicon oxide and silicon nitride.
  • etch cycles each consisting of a selective etch and a non-selective etch are performed to form a plurality of openings through the alternating layers of materials to a depth of about 5.1 pm. As shown in Figure 9B, the depths of the plurality of openings are relatively uniform following the etch process of the present disclosure.
  • the apparatus/process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility.
  • Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • a tool such as an RF or microwave plasma resist stripper.

Abstract

Features are formed through alternating layers of a first material and a second material by performing an etch process with multiple etch cycles. Each of the etch cycles includes at least a non-selective etch and a selective etch. The non-selective etch etches through at least one or more layers of the first material. The non-selective etch may further etch through one or more layers of the second material and/or partially through a layer of the second material. The selective etch etches through at least a layer of the second material without etching through a layer of the first material. Multiple etch cycles are repeated until a final depth of the features is reached.

Description

NON-SELECTIVE AND SELECTIVE ETCHING THROUGH ALTERNATING LAYERS OF MATERIALS
INCORPORATION BY REFERENCE
[0001] A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in its entirety and for all purposes.
BACKGROUND
[0002] Semiconductor fabrication processes can involve fabrication of memory and logic devices. Examples include 3D NAND and DRAM (dynamic random-access memory) applications, as well as logic applications for mid-end-of-line (MEOL) and back-end-of-line (BEOL) processes. Fabrication of memory and logic devices often involves etching features, such as contact holes, on a substrate, which may include multiple layers of material. As device dimensions become smaller, etching features through multiple layers of material becomes more challenging.
[0003] The background provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent that it is described in this background, as well as aspects of the description that may not otherwise qualify as prior art at the time of fding, are neither expressly nor impliedly admitted as prior art against the present disclosure.
SUMMARY
[0004] Provided herein is a method of etching a substrate with alternating layers of a first material and a second material in a reaction chamber. The method includes etching, in a first phase of an etch cycle, at least one or more layers of the first material, where etching in the first phase is performed under first conditions in the reaction chamber that are non-selective between the first material and the second material. The method further includes etching, in a second phase of the etch cycle, at least through a layer of the second material without etching through a layer of the first material, where etching in the second phase is performed under second conditions in the reaction chamber that are selective to the second material against the first material. The method further includes repeating one or more etch cycles to form a plurality of features through the alternating layers of the first material and the second material in the substrate. [0005] In some implementations, the first material includes a nitride and the second material includes an oxide. In some implementations, the first material includes polysilicon and the second material includes an oxide. In some implementations, the substrate includes a mask over the alternating layers of the first material and the second material, where the mask is a metal-doped mask. In some implementations, the first conditions include a fluorine- based etchant and a hydrogen-based etchant, and the second conditions include the fluorine- based etchant without the hydrogen-based etchant. Etching in the first phase of the etch cycle includes flowing the fluorine-based etchant and the hydrogen-based etchant in a gas phase towards the substrate, and generating a plasma of the fluorine-based etchant and the hydrogen-based etchant, and where etching in the second phase of the etch cycle includes flowing the fluorine-based etchant in a gas phase towards the substrate, and generating a plasma of the fluorine-based etchant. In some implementations, during etching in the first phase, a selectivity for the second material against the first material, or vice versa, is between about 2: 1 and about 1 : 1, and during etching in the second phase, a selectivity for the second material against the first material is greater than about 7:1. In some implementations, etching in the first phase of the etch cycle etches through one or more layers of the second material and/or at least partially through a layer of the second material. In some implementations, etching in the second phase of the etch cycle removes etch byproducts formed during the first phase of the etch cycle. In some implementations, etching in the first phase and etching in the second phase occur in the reaction chamber without introducing a vacuum break between operations. In some implementations, the method further includes etching, prior to etching in the first phase of the etch cycle, at least partially through a layer of the second material under conditions in the reaction chamber that are selective to the second material against the first material.
[0006] Another aspect involves an apparatus including a reaction chamber, a substrate support for supporting a substrate in the reaction chamber, the substrate having alternating layers of a first material and a second material, and a controller configured with instructions for performing the following operations: etching, in a first phase of an etch cycle, at least one or more layers of the first material, where etching in the first phase is performed under first conditions in the reaction chamber that are non-selective between the first material and the second material, etching, in a second phase of the etch cycle, at least through a layer of the second material without etching through a layer of the first material, where etching in the second phase is performed under second conditions in the reaction chamber that are selective to the second material against the first material, and repeating one or more etch cycles to form a plurality of features through the alternating layers of the first material and the second material in the substrate.
[0007] In some implementations, the first material includes a nitride and the second material includes an oxide. In some implementations, the first material includes polysilicon and the second material includes an oxide. In some implementations, each of the plurality of features has a depth to lateral dimension aspect ratio equal to or greater than about 10: 1, where each of the plurality of features has a sidewall roughness equal to or less than about 2.0 nm, where each of the plurality of features is the same or substantially the same in depth, and where the plurality of features have a local critical dimension uniformity (LCDU) equal to or less than about 3.0 nm. In some implementations, the etching in the first phase and etching in the second phase occur in the reaction chamber without introducing a vacuum break between operations. In some implementations, the controller is further configured with instructions for performing the following operation: etching, prior to etching in the first phase of the etch cycle, at least partially through a layer of the second material under conditions in the reaction chamber that are selective to the second material against the first material.
[0008] These and other aspects are described further below with reference to the drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
[0009] Figure 1 shows a schematic diagram of an example plasma processing apparatus for performing cyclic non-selective and selective etching that may be used in some implementations.
[0010] Figure 2 shows a schematic diagram of an example process tool for performing disclosed implementations.
[0011] Figure 3 A shows a cross-sectional schematic illustration of an example substrate including a patterned mask and alternating layers of a first material and a second material.
[0012] Figure 3B shows a cross-sectional schematic illustration of the substrate in Figure 3A after performing a non-selective plasma etch through the alternating layers of the first material and the second material.
[0013] Figure 4 shows a flow diagram of an example method of etching a substrate with alternating layers of materials according to some implementations.
[0014] Figure 5A shows a cross-sectional schematic of an example substrate with openings etched through alternating layers of materials following a non-selective etch according to some implementations.
[0015] Figure 5B shows a top plan view schematic illustration of the substrate in Figure 5A following the non-selective etch.
[0016] Figure 6A shows a cross-sectional schematic of an example substrate with openings etched through alternating layers of materials following a non-selective etch and a subsequent selective etch according to some implementations.
[0017] Figure 6B shows a top plan view schematic illustration of the substrate in Figure 6A following the non-selective etch and the subsequent selective etch.
[0018] Figure 7 shows cross-sectional schematic illustrations an example substrate with alternating layers of materials undergoing multiple etch cycles according to some implementations.
[0019] Figure 8A shows an SEM image of openings through alternating layers of materials following a non-selective etch process.
[0020] Figure 8B shows an SEM image of openings through alternating layers of materials following multiple etch cycles each consisting of a selective and a non-selective etch phase.
[0021] Figure 9A shows an SEM image of openings through alternating layers of materials following a non-selective etch process.
[0022] Figure 9B shows an SEM image of openings through alternating layers of materials following multiple etch cycles each consisting of a selective and a non-selective etch phase.
PET ATT /FT) DESCRIPTION
[0023] In the present disclosure, the terms“semiconductor wafer,”“wafer,”“substrate,” “wafer substrate,” and“partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term“partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. The following detailed description assumes the present disclosure is implemented on a wafer. However, the present disclosure is not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of the present disclosure include various articles such as printed circuit boards and the like. Plasma Processing Apparatus
[0024] In various semiconductor processing schemes, features are etched into stacks of materials. Example applications include but are not limited to logic and memory applications, which include DRAM and 3D NAND applications. The stacks of materials may include one or more layers of dielectric material, and the one or more layers of dielectric material may include alternating layers of materials. An example of alternating layers of materials can include alternating layers of oxide and nitride, or alternating layers of oxide and polysilicon. As the aspect ratio of features continues to increase, it becomes increasingly challenging to etch such features. “Features” as used herein may refer to a non-planar structure on the substrate, typically a surface being modified in a semiconductor device fabrication operation. Examples of features include trenches, contact holes, vias, pads, pillars, domes, and the like. A feature typically has an aspect ratio (depth to lateral dimension). As used herein, “features” may describe negative features such as holes, openings, or vias.
[0025] Etching features of a substrate may be done in a plasma processing apparatus using etch plasmas based on various gases that are useful for etching through various materials. The plasma processing apparatus may include a plasma etch chamber or a plasma reactor. An example of the plasma processing apparatus is shown in Figure 1.
[0026] Figure 1 shows a schematic diagram of an example plasma processing apparatus for performing cyclic selective and non-selective etching that may be used in some implementations. A plasma processing apparatus 100 includes a plasma reactor 124 with a container or space for confining plasma therein. The plasma may be generated by a capacitive-discharge type system including a showerhead 114 working in conjunction with a grounded heater block 120. A high frequency (HF) radio frequency (RF) generator 104 and a low frequency (LF) RF generator 102 may be connected to a matching network 106 and to the showerhead 114. The power and frequency supplied by matching network 106 may be sufficient to generate a plasma from process gases supplied to the plasma reactor 124. For example, the matching network 106 may provide 50W to 500W of HFRF power. In some examples, the matching network 106 may provide 100W to 5000W of FIFRF power and 100W to 5000W of LFRF power total energy. In a typical process, the HFRF component may generally be between 5 MHz to 60 MHz, e.g., 13.56 MHz. In operations where there is an LF component, the LF component may be from about 100 kHz to 2 MHz, e.g., 430 kHz.
[0027] Within the reactor, a wafer pedestal 118 may support a substrate 116. The wafer pedestal 118 may include a chuck, a fork, or lift pins (not shown) to hold and transfer the substrate during and between the deposition and/or plasma treatment reactions. The chuck may be an electrostatic chuck, a mechanical chuck, or various other types of chuck as are available for use in the industry and/or for research.
[0028] Various process gases may be introduced via inlet 112. Multiple source gas lines 110 are connected to manifold 108. The gases may be premixed or not. Appropriate valving and mass flow control mechanisms may be employed to ensure that the correct process gases are delivered during the deposition and plasma treatment phases of the process. In the case where a chemical precursor(s) is delivered in liquid form, liquid flow control mechanisms may be employed. Such liquids may then be vaporized and mixed with process gases during transportation in a manifold heated above the vaporization point of the chemical precursor supplied in liquid form before reaching the deposition chamber.
[0029] Process gases may exit the plasma reactor 124 via an outlet 122. A vacuum pump, e.g., a one or two stage mechanical dry pump and/or turbomolecular pump 140, may be used to draw process gases out of the plasma reactor 124 and to maintain a suitably low pressure within the plasma reactor 124 by using a closed-loop-controlled flow restriction device, such as a throttle valve or a pendulum valve.
[0030] In some implementations, a controller 130 (which may include one or more physical or logical controllers) controls some or all of the operations of the plasma processing apparatus 100. The controller 130 may be configured to control at least the power supply including the HFRF generator 104 and the LFRF generator 102, the showerhead 114, the wafer pedestal 118, the turbomolecular pump 140, and the multiple gas lines 110 and the manifold 108. The controller 130 may include one or more memory devices and one or more processors. The processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components lnstructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller 130 or they may be provided over a network. In certain embodiments, the controller 130 executes system control software.
[0031] The system control software may include instructions for controlling the timing of application and/or magnitude of any one or more of the following chamber operational conditions: the mixture and/or composition of gases, chamber pressure, chamber temperature, substrate/substr te support temperature, the bias applied to the substrate (which in various implementations may be zero), the frequency and power applied to electrodes or other plasma generation components, substrate position, substrate movement speed, and other parameters of a particular process performed by the tool. System control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operations of the process tool components necessary to carry out various process tool processes. System control software may be coded in any suitable compute readable programming language.
[0032] In some embodiments, system control software includes input/output control (IOC) sequencing instructions for controlling the various parameters described herein. For example, each phase of a semiconductor fabrication process may include one or more instructions for execution by the controller 130. The instructions for setting process conditions for a phase may be included in a corresponding recipe phase, for example. In some implementations, the recipe phases may be sequentially arranged, such that steps in an etching process are executed in a certain order for that process phase. For example, a recipe may be configured to perform non-selective etch operations and include one or more selective etch operations performed in between each of the non-selective etch operations. Specifically, the recipe may be configured to perform alternating non-selective and selective etch operations in a cycle.
[0033] In some cases, the controller 130 controls gas concentrations, substrate movement, and/or the power supplied to the electrodes (e g., wafer pedestal 118 and showerhead 114). The controller 130 may control the gas concentration by, for example, opening and closing relevant valves to produce one or more inlet gas streams that provide the necessary reactant(s) at the proper concentration(s). The substrate movement may be controlled by, for example, directing a substrate positioning system to move as desired. The power supplied to the electrodes may be controlled to provide particular RF power levels. Similarly, if an internal grid is used, any RF power applied to the grid may be adjusted by the controller 130. The controller 130 may control these and other aspects based on sensor output (e.g., when power, potential, pressure, etc. reach a certain threshold), the timing of an operation (e.g., opening valves at certain times in a process), or based on received instructions from the user.
[0034] In some implementations, the controller 130 is configured with instructions for performing one or more of the following operations: etching a substrate 116 in a plasma reactor 124 of a plasma processing apparatus 100, where the substrate 116 has alternating layers of a first material and a second material, and where etching the substrate 116 includes etching, in a first phase of an etch cycle, one or more layers of the first material, where etching in the first phase is performed under first conditions in the plasma reactor 124 that are non-selective between the first material and the second material, etching, in a second phase of the etch cycle, a layer of the second material, where etching in the second phase is performed under second conditions in the plasma reactor 124 that are selective to the second material against the first material, and repeating one or more etch cycles to form a plurality of features through the alternating layers of the first material and the second material in the substrate. Etching in the second phase may etch through a layer of the second material or partially etch through a layer of the second material. The first material includes a nitride or polysilicon and the second material includes an oxide. The controller 130 may be configured to etch in the second phase the layer of the second material without etching the first material. The controller 130 configured with instructions for repeating the one or more etch cycles so that each of the openings has a depth to lateral dimension aspect ratio equal to or greater than about 10: 1, where the plurality of openings have a sidewall roughness equal to or less than about 2.0 nm, where the plurality of openings have the same or substantially the same depth, and where the plurality of openings have a local critical dimension uniformity (LCDU) equal to or less than about 3.0 nm. In some implementations, etching in the first phase and etching in the second phase occur in the plasma reactor 124 without introducing a vacuum break between operations. The controller 130 may be further configured with instructions for performing the following operation: etching, prior to etching in the first phase of the etch cycle, at least partially through a layer of the second material under conditions in the plasma reactor 124 that are selective to the second material against the first material.
[0035] In some implementations, the controller 130 is part of a system, which may be part of the examples described herein. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a substrate pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the“system controller” or“controller,” which may control various components or subparts of the system or systems. The controller 130, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, substrate transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
[0036] A reaction chamber used for etching (and in some cases deposition) may be a Flex™ reaction chamber, for example from the 2300® Flex™ product family available from Lam Research Corporation of Fremont, CA. This type of etch reactor is further described in the following U.S. Patents, each of which is herein incorporated by reference in its entirety and for all purposes: U.S. Patent No. 8,552,334, and U.S. Patent No. 6,841,943.
[0037] A plasma processing apparatus as described above may be part of a multi-station processing tool. Figure 2 shows a schematic diagram of an example process tool for performing disclosed implementations. Figure 2 shows an implementation of a multi-station process tool 200 with an inbound load lock 202 and an outbound load lock 204, either or both of which may include a plasma source. A robot 206 at atmospheric pressure is configured to move wafers from a cassette loaded through a pod 208 into inbound load lock 202 via an atmospheric port 210. A wafer is placed by the robot 206 on a pedestal 212 in the inbound load lock 202, the atmospheric port 210 is closed, and the load lock is pumped down. Where the inbound load lock 202 includes a plasma source, the wafer may be exposed to plasma to treat the wafer in the load lock prior to being introduced into a processing chamber 214. Further, the wafer also may be heated in the inbound load lock 202 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 216 to processing chamber 214 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the implementation depicted in Figure 2 includes load locks, it will be appreciated that, in some implementations, direct entry of a wafer into a process station may be provided.
[0038] The depicted processing chamber 214 includes four process stations, numbered from 1 to 4 in the implementation shown in Figure 2. Each station may have a heated pedestal (shown at 218 for station 1), and gas line inlets. It will be appreciated that in some implementations, each process station may have different or multiple purposes. For example, in some implementations, a process station may be switchable between an ALD and plasma- enhanced ALD process mode. In another example, a process station may be switchable between selective and non-selective etching of materials. While the depicted processing chamber 214 includes four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some implementations, a processing chamber may have five or more stations, while in other implementations a processing chamber may have three or fewer stations.
[0039] Figure 2 depicts an implementation of a wafer handling system 290 for transferring wafers within processing chamber 214. In some implementations, wafer handling system 290 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots. Figure 2 also depicts an implementation of a system controller 250 employed to control process conditions and hardware states of the process tool 200. System controller 250 may include one or more memory devices 256, one or more mass storage devices 254, and one or more processors 252. Processor 252 may include a CPU or computer, analog, and/or digital input/output connections, stepper motor controller boards, etc.
[0040] In some implementations, system controller 250 controls all of the activities of the process tool 200. System controller 250 executes system control software 258 stored in mass storage device 254, loaded into memory device 256, and executed on processor 252. Alternatively, the control logic may be hard coded in the system controller 250. Applications Specific Integrated Circuits, Programmable Logic Devices (e g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever“software” or“code” is used, functionally comparable hard coded logic may be used in its place. System control software 258 may include instructions for controlling the timing, mixture of gases, gas flow rates, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by the process tool 200. System control software 258 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components used to carry out various process tool processes. System control software 258 may be coded in any suitable computer readable programming language.
[0041] In some embodiments, the system control software 258 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs stored on mass storage device 254 and/or memory device 256 associated with the system controller 250 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
[0042] A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 218 and to control the spacing between the substrate and other parts of the process tool 200.
[0043] A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.
[0044] A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.
[0045] A plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations in accordance with the embodiments herein.
[0046] A pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the embodiments herein.
[0047] In some embodiments, there may be a user interface associated with the system controller 250. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
[0048] In some embodiments, parameters adjusted by system controller 250 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), pressure, etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface. [0049] Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 250 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of the process tool 200. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
[0050] System controller 250 may provide program instructions for implementing the etching processes described herein. The etching processes may include one or more etch cycles each including non-selective and selective etch phases. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control the parameters to operate etching of alternating layers of materials according to various embodiments described herein.
[0051] Broadly speaking, a controller or system controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the etching of one or more layers of materials of a substrate.
[0052] The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the substrate processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
[0053] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, a metrology chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
[0054] As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of substrates to and from tool locations and/or load ports in a semiconductor manufacturing factory.
Non-Selective and Selective Etching of Alternating Lavers of Materials
[0055] Semiconductor fabrication processes may involve etching through alternating layers of materials to form high aspect ratio features. Alternating layers of materials may be characteristic of semiconductor devices such as memory and logic devices. In some implementations, the high aspect ratio features may subsequently serve as electrical contacts in a memory or logic device. In some implementations, the high aspect ratio features may take the shape of an opening, contact hole, slit, recess, or trench. High aspect ratio features may be formed using a plasma-based etch. A high aspect ratio feature is one having a depth to lateral dimension aspect ratio of at least about 5: 1, at least about 10: 1, at least about 15: 1, at least about 20: 1, at least about 30: 1, at least about 40: 1, at least about 50: 1, or at least about 100: 1. For example, features having a high aspect ratio may be at least 10: 1. In some implementations, the lateral dimension may be a width or diameter at the top of a feature.
[0056] One or more problems may arise during plasma-based etching of high aspect ratio features. One problem that arises is a non-uniform etch profile. In other words, the features are not etched in a straight downward direction. Instead, the etch profile is twisted and has striations, resulting in an uneven profile that gets more pronounced towards the bottom of the features. This causes surface or sidewall roughness that can be detrimental to device performance. Another problem that arises is depth non-uniformity among features. For example, holes may be formed at different depths and hole-to-hole depth variation gets exacerbated at greater depths. This is due in part to the fact that selectivity through different materials is usually not perfectly 1 : 1 and that features are often different sizes, resulting in different etch rates among features. Yet another problem that arises regards local critical dimension uniformity (LCDU). Each of the features (e.g., holes) may have a critical dimension (CD), which may refer to the size of the smallest geometrical dimension (e.g., diameter) of the feature. Non-uniform etch profiles with twisting, striations, waviness, and surface roughness may cause variations in local CD, thereby adversely impacting the local CD uniformity among features.
[0057] Current technology in performing plasma-based etches of high aspect ratio features may have difficulty controlling etch profiles, depth uniformity, and local CD uniformity when etching through alternating layers of materials. In some implementations, the alternating layers of materials may include one or more layers of dielectric materials. The alternating layers of materials may be alternating layers of a first material and a second material. In some implementations, the first material may be nitride or poly silicon, and the second material may be oxide. Of course, it will be understood that first material and the second material may be referenced interchangeably in the present disclosure, and so the first material may be oxide and the second material may be nitride or polysilicon in some implementations. Alternating layers of oxide and nitride may be referred to as an ONON stack and alternating layers of oxide and polysilicon may be referred to as an OPOP stack, either of which may have many layers. The number of layers may be 80 layers or higher or 100 layers or higher. Lower numbers of layers may also be used, such as 80, 40, 20, 10, or fewer. The layers may each have a thickness on the order of tens of nanometers.
[0058] Figure 3A shows a cross-sectional schematic illustration of an example substrate including a patterned mask and alternating layers of a first material and a second material. A substrate 300 includes a film stack 304 having multiple layers of a first material 312 and multiple layers of a second material 316 altematingly disposed or interleaved between the multiple layers of the first material 312. The layers of the first material 312 can include a nitride such as silicon nitride. Alternatively, the layer of the first material 312 can include poly silicon. The layer of the second material 316 can include an oxide such as silicon oxide. However, it will be understood that the alternating layers may be reversed so that the layers of the first material 312 can include an oxide and the layers of the second material 316 can include a nitride or polysilicon. In some implementations, each of the layer of the first material 312 and the layer of the second material 316 can have a thickness between about 10 nm and about 100 nm, between about 15 nm and about 50 nm, or between about 25 nm and about 35 nm. The film stack 304 may be disposed on or over a base layer 308 that includes a substrate material. The base layer 308 and the film stack 304 may be part of a device structure such as a memory device structure.
[0059] The substrate 300 further includes a mask 320 that may be disposed over the film stack 304. The mask 320 may be patterned with one or more openings. The mask 320 may be patterned using conventional photolithography techniques. The mask 320 may be patterned to define where features are to be etched. In some implementations, the mask 320 may be a carbon-containing mask that includes one or more polymers. For example, the mask 320 may be an amorphous carbon mask or carbon hard mask. In some implementations, the mask 320 may be a silicon-containing mask. For example, the mask 320 may be a polysilicon mask. In some implementations, the mask 320 may be a metal- doped mask that includes one or more metals. For example, the mask 320 may be a tungsten- containing mask where the tungsten-containing mask can include tungsten oxide (WOx), tungsten nitride (WN), or tungsten carbide (WC). In another example, the mask 320 may be a titanium nitride (TiN) mask. Other masks may be used, and additional mask layers may be used above the mask 320. The composition of the mask 320 may depend on the application of the device structure or the device requirements.
[0060] Figure 3B shows a cross-sectional schematic illustration of the substrate in Figure 3A after performing a non-selective plasma etch through the alternating layers of the first material 312 and the second material 316. The substrate 300 includes a plurality of features 310 etched through the layers of the first material 312 and the layers of the second material 316. Each of the etched features 310 may have a shape of a cylinder, though it will be understood that each of the etched features 310 may have alternative geometric shapes such as rectangles, squares, or other polygonal recesses, trenches, etc. The plurality of features 310 may be recesses, holes, or openings that extend through one or more layers of the film stack 304, where the plurality of features 310 are defined by the mask 320. The plurality of features 310 may be high aspect ratio features with a depth to lateral dimension aspect ratio of at least about 10: 1, at least about 20: 1, at least about 30: 1, at least about 40: 1, at least about 50: 1, or at least about 100: 1. The aspect ratio may compare depth of a feature against a critical dimension of the feature (often its width or diameter). For example, a cylindrical hole having a depth of 2 pm and a diameter of 50 nm has an aspect ratio of 40: 1. The critical dimension of each of the plurality of features 310 may be less than about 200 nm, less than about 100 nm, or between about 2 nm and about 50 nm.
[0061] The plurality of features 310 may be formed using a plasma-based etch, where the plasma-based etch is a non-selective etch. The non-selective etch may be non-selective between at least the layers of the first material 312 and the layers of the second material 316. A non-selective etch has a chemistry that etches through the first material 312 and the second material 316 at an etch rate that is the same or substantially similar. A non-selective etch has a selectivity for etching the first material 312 against the second material 316, or vice versa, that is between about 2: 1 and about 1 : 1, between about 1.5 and about 1 : 1, between about 1.25 and about 1 : 1, or about 1 : 1. In some implementations, the non-selective etch may have a chemistry that includes one or more fluorocarbons (CxFy). In some implementations, one or more co-reactants may also be provided. For instance, the non-selective etch may have a chemistry that includes a combination of fluorocarbons and oxygen (02). One example of such a chemistry can include C4Fg C4F6, N2, CO, CF4, and 02. Another example of such a chemistry can include C4F8, C4F6, CH2F2, COS, and 02. Other chemistries may be used. In some implementations, the non-selective etch may have a chemistry that includes a fluorine- based etchant such as nitrogen trifluoride (NF3).
[0062] The non-selective etch process may etch through multiple layers of the first material 312 and multiple layers of the second material 316 to a desired depth to form the plurality of features 310. The total etch depth may depend on the particular application. For some cases, (e.g., DRAM), the total etch depth for each of the plurality of features 310 may be between about 1.5 pm and about 2.0 pm. For other cases (e.g., 3D NAND), the total etch depth for each of the plurality of features 310 may be between about 3.0 pm and about 5.0 pm. However, as shown in Figure 3B, the total etch depth may vary for the plurality of features 310. Without being limited by any theory, it is believed that deviations in size/shape of the features 310 and deviations from perfect 1 :1 selectivity in the non-selective etch process result in different etch rates across the plurality of features 310. This leads to feature-to- feature depth non-uniformity. Furthermore, as discussed earlier, the non-selective etch process produces variations in local critical dimensions and twisting, striations, waviness, and surface roughness in the etch profiles of the plurality of features 310.
[0063] Aspects of the present disclosure relate to etching alternating layers of materials using an etch process that combines non-selective etch chemistries and selective etch chemistries. The etch process can include multiple cycles of non-selective etch and selective etch processes. Each cycle can alternate between non-selective and selective etch processes. The non-selective etch process can etch through a first material and a second material of the alternating layers of materials. In some implementations, the non-selective etch process can be controlled to etch through one or more layers of the first material and at least partially through a layer of the second material. The selective etch process can etch through a remaining layer of the second material and stop on a layer of the first material. Cycles of non-selective etch and selective etch processes can be repeated to form a plurality of high aspect ratio features at a desired depth in the substrate. The high aspect ratio features may have substantial depth uniformity, minimal sidewall or hole roughness, and excellent local critical dimension uniformity.
[0064] Figure 4 shows a flow diagram of an example method of etching a substrate with alternating layers of materials in a reaction chamber according to some implementations. The substrate may include alternating layers of a first material and a second material. The operations in a process 400 may be performed in different orders and/or with different, fewer, or additional operations.
[0065] At block 410 of the process 400, the substrate is optionally provided in the reaction chamber. The substrate includes alternating layers of the first material and the second material. In other words, the substrate can include a plurality of layers of the first material and a plurality of layers of the second material altematingly disposed or interleaved between the layers of the first material. The alternating layers of the first material and the second material can form a film stack in the substrate. The alternating layers may include one or more layers of dielectric material. Example dielectric materials include silicon oxides, silicon nitrides, silicon carbides, oxycarbides, carbonitrides, doped versions of these materials, and combinations thereof. In some implementations, the first material includes a nitride and the second material includes an oxide, or vice versa. For instance, the alternating layers of the first material and the second material can be alternating layers of silicon nitride and silicon oxide to form an ONON stack. In some implementations, the first material includes poly silicon and the second material includes an oxide, or vice versa. For instance, the alternating layers of the first material and the second material can be alternating layers of polysilicon and oxide to form an OPOP stack.
[0066] In some implementations, the alternating layers of the first material and the second material can have several layers. In some implementations, the number of layers can be at least 10, at least 20, at least 30, at least 40, at least 50, at least 60, at least 70, or at least 80 layers. The layers of the first material can each have a thickness between about 10 nm and about 100 nm, between about 15 nm and about 50 nm, or between about 25 nm and about 35 nm. The layers of the second material can each have a thickness between about 10 nm and about 100 nm, between about 15 nm and about 50 nm, or between about 25 nm and about 35 nm.
[0067] The substrate can include a mask overlying the alternating layers of the first material and the second material, where the mask is patterned to define where features are to be etched. In some implementations, the mask is a carbon-containing mask such as an amorphous carbon mask. In some implementations, the mask is a silicon-containing mask such as a polysilicon mask. In some implementations, the mask is a metal-doped mask such as a tungsten-containing mask In some implementations, the mask has a thickness between about 100 nm and about 2000 nm.
[0068] The reaction chamber may be a plasma etch chamber that is part of a tool described earlier herein in Figures 1 and 2. The substrate may be provided on a substrate support or pedestal in the reaction chamber. A reaction chamber used for etching (and in some cases deposition) may be a Flex™ reaction chamber, for example from the 2300® Flex™ product family available from Lam Research Corporation of Fremont, CA. [0069] At block 420 of the process 400, at least one or more layers of the first material are etched in a first phase of an etch cycle, where etching in the first phase is performed under first conditions in the reaction chamber that are non-selective between the first material and the second material. In some implementations, at least one layer of the second material is etched and/or is at least partially etched. The first phase of the etch cycle performs a non- selective etch process that etches the first material and the second material at the same or substantially similar etch rate. The first conditions in the reaction chamber have a selectivity for the first material against the second material, or vice versa, that is between about 2: 1 and about 1 : 1, between about 1.5: 1 and about 1 : 1, between about 1.25: 1 and 1 : 1, or about 1 : 1.
[0070] The first conditions in the reaction chamber may include an etch chemistry that is able to etch the first material and the second material in a non-selective manner. The etch chemistry used to etch the first material and the second material may be a fluorine-based chemistry. The fluorine-based chemistry can include one or more fluorocarbons (CxFy) and/or nitrogen trifluoride (NF3). One or more co-reactants may also be provided. In one example, the etch chemistry under the first conditions in the reaction chamber may include C4F8 C F6, N2, CO, CF4, and 02. The fluorine-based chemistry in the first conditions may include a fluorine-based etchant such as a fluorocarbon and a hydrogen-based etchant such as a fluoromethane (CHxFy), methane (CH4), or hydrogen (H2). One or more co-reactants may also be provided. For example, the fluorine-based etchant can include C4F8, C4F6, CF4, or combinations thereof, and the hydrogen-based etchant can include CH3F, CH2F2, CHF3, CH4, H2, or combinations thereof. For example, the etch chemistry under the first conditions in the reaction chamber may include C4F8, C4F6, CH2F2, COS, and 02. Other etch chemistries may be used in the first conditions in the reaction chamber to achieve a non-selective etch. Where C4Fr, and C4F8 are used, the flow rate of C4F6 may be between about 10 seem and about 200 seem, and the flow rate of C4F8 may range between about 10 seem and about 200 seem. The flow rate of 02 may be between about 0 seem and about 500 seem.
[0071] In some implementations, etching in the first phase of the etch cycle includes flowing the fluorine-based etchant and the hydrogen-based etchant in a gas phase towards the substrate. Etching in the first phase of the etch cycle further includes generating a plasma of the fluorine-based etchant and the hydrogen-based etchant to form plasma activated fluorine- based species and plasma activated hydrogen-based species. The plasma turns the etchants into neutral species, radical species, ion species, or other charged species. The substrate is exposed to the plasma to remove the one or more layers of the first material, and in some implementations, at least partially a layer of the second material.
[0072] Under the first conditions, processing conditions such as chamber pressure, substrate temperature, gas flow rates, plasma exposure time, plasma power, plasma frequency, duty cycle, and other settings may be controlled. In some implementations, the substrate temperature during etching under the first conditions may be between about 20°C and about 300°C or between about 30°C and about 200°C. In some implementations, the chamber pressure during etching under the first conditions may be between about 1 mTorr and about 200 mTorr or between about 5 mTorr and about 80 mTorr. Various types of plasma sources may be used, including RF, DC, and microwave-based plasma sources. In some implementations, a downstream RF plasma source is used. Typically, the RF plasma power for a 300-mm substrate during etching under the first conditions can range between about 300 W and about 10 kW, or between about 2 kW and about 5 kW.
[0073] In some implementations, dual -frequency RF power is used to generate the plasma. Thus, the RF power may include a first frequency component (e.g., 400 kHz) and a second frequency component (e.g., 60 MHz), which may be a low frequency component and a high frequency component. Different powers may be provided at each frequency component. For instance, the first frequency component may be provided at a power between about 3 kW and about 24 kW, and the second frequency component may be provided at a lower power such as between about 0.5 kW and about 10 kW. In some implementations, three different frequencies of RF power are used to generate the plasma
[0074] Etching during the first phase of the etch cycle produces a plurality of features that extend partially through the alternating layers of the first material and the second material to a fraction of a desired depth for the plurality of features. In some implementations, etch during the first phase of the etch cycle may reach between about 30 nm and about 1000 nm or between about 50 nm and about 500 nm in depth. In some implementations, the first phase of the etch cycle may etch through at least two, three, four, or five layers of the first material and at least through one, two, three, or four layers of the second material. The first phase of the etch cycle may etch partially through a layer of the second material before stopping. The first phase of the etch cycle may be controlled to stop upon reaching a layer of the second material or after partially etching a layer of the second material. The first phase of the etch cycle may be controlled to not stop upon partially etching a layer of the first material.
[0075] During the first phase of the etch cycle, the non-selective etch chemistry may cause certain polymer species and etch byproducts to deposit on sidewalls and surfaces of the plurality of features. Without being limited by any theory, reactions with the etchant and the mask material may deposit the polymer species and etch byproducts on sidewalls and a bottom surface of the features. The polymer species and etch byproducts may include one or more polymers, fluorinated polymer byproducts, and metal -impregnated polymers (e.g., tungsten-impregnated polymers) where a metal-doped mask is used. The polymer species and etch byproducts may be deposited as debris/fragments along sidewalls and the bottom surface of the plurality of features. Some of the polymer species and etch byproducts may have higher sticking coefficients on certain materials than other materials, resulting in greater etch profile non-uniformity. More polymer species and etch byproducts can build up with longer etch times and greater depths.
[0076] Figure 5A shows a cross-sectional schematic of an example substrate with openings etched through alternating layers of materials following a non-selective etch according to some implementations. Figure 5B shows a top plan view schematic illustration of the substrate in Figure 5A following the non-selective etch. A substrate 500 includes a plurality of layers of a first material 512 and a plurality of layers of a second material 516 alternatingly disposed or interleaved between the plurality of layers of the first material 512. The substrate 500 further includes a mask 520 overlying the plurality of layers of the first material 512 and the plurality of layers of the second material 516. In some implementations, the first material 512 can be a nitride material such as silicon nitride. In some implementations, the second material 516 can be an oxide material such as silicon oxide. In some implementations, the mask 520 can be an organic mask or metal-doped mask.
[0077] Features such as openings 510 may be defined by the mask 520. The openings 510 may be formed by performing a non-selective etch that etches through a top layer of the second material 516, a top layer of the first material 512, and partially through a layer of the second material 516. The openings 510 are not formed to the same depth. In some implementations, the openings 510 may be formed by performing a selective etch that etches through the top layer of the second material 516 followed by a non-selective etch that etches through the top layer of the first material 512 and partially through the layer of the second material 516. Etch byproducts 504 are formed at a bottom surface and along sidewalls of the openings 510. The etch byproducts 504 may include one or more polymers such as fluorinated polymers and/or metal-impregnated polymers. As shown in Figures 5A and 5B, the etch byproducts 504 may be non-uniformly deposited along the sidewalls and bottom surface of the openings 510. Non-uniform deposits of etch byproducts 504 add to the sidewall roughness, etch profile non-uniformity, and/or non-uniformity in local critical dimensions. In Figure 5B, the etch byproducts 504 are deposited along sidewalls of the openings 510 to create an irregular, wavy pattern.
[0078] Returning to Figure 4, at block 430 of the process 400, at least a layer of the second material is etched through in a second phase of the etch cycle, where etching in the second phase is performed under second conditions in the reaction chamber that are selective to the second material against the first material. In some implementations, a remainder of the partially etched layer of the second material from the first phase of the etch cycle is etched. The layer of the second material is etched without etching or substantially etching the first material. In other words, the layer of the second material is etched while stopping on an underlying layer of the first material. The second phase of the etch cycle performs a selective etch process that etches the second material at a substantially faster etch rate than the first material. A substantially faster etch rate for the second material can be at least three times, at least five times, at least seven times, at least ten times, or at least fifty times greater than the etch rate of the first material. Thus, the second conditions in the reaction chamber have a selectivity for the second material against the first material that is greater than about 5: 1, greater than about 7: 1, greater than about 10: 1, or greater than about 50: 1. It will be understood that with the presence of polymer species and etch byproducts at the onset of the second phase of the etch cycle, the etch selectivity may be initially skewed. For example, the etch selectivity for the second material against the first material may be between 5 : 1 and 10: 1 during an initial second, but then the etch selectivity may reach a steady state that is greater than about 100: 1, greater than about 500: 1, or even approaching infinity during a remainder of the second phase of the etch cycle.
[0079] The second conditions in the reaction chamber may include an etch chemistry that is able to etch the second material without etching or substantially etching the first material in a selective manner. The etch chemistry used to selectively etch the second material may be a fluorine-based chemistry. The fluorine-based chemistry can include one or more fluorocarbons (CxFy) and/or nitrogen trifluoride (NF3). One or more co-reactants may also be provided. In some implementations, the etch chemistry includes a fluorine-based etchant and no hydrogen-based etchant. For example, the etch chemistry during the second phase of the etch cycle can include the fluorine-based etchant from the first phase without the hydrogen- based etchant from the first phase. For example, the fluorine-based etchant can include C5F8, C4F8, C4F6, CF4, or combinations thereof. The etch chemistry can further include 02. However, the etch chemistry does not include CH2F2 or COS. Other etch chemistries under the second conditions in the reaction chamber may be used to achieve a selective etch. Where C4F6 and C4F8 are used, the flow rate of C4F6 may be between about 10 seem and about 200 seem, and the flow rate of C4F8 may range between about 10 seem and about 200 seem. The flow rate of 02 may be between about 0 seem and about 500 seem.
[0080] In some implementations, etching in the second phase of the etch cycle includes flowing the fluorine-based etchant in a gas phase towards the substrate. Etching in the second phase of the etch cycle further includes generating a plasma of the fluorine-based etchant to form plasma activated fluorine-based species. No hydrogen-based etchant is flowed during the second phase of the etch cycle. The plasma turns the etchant into neutral species, radical species, ion species, or other charged species. The substrate is exposed to the plasma to remove the layer of the second material without removing a layer of the first material.
[0081] Under the second conditions, processing conditions such as chamber pressure, substrate temperature, gas flow rates, plasma exposure time, plasma power, plasma frequency, duty cycle, and other settings may be controlled. In some implementations, the processing conditions in the second conditions may be the same as the first conditions except for the etch chemistry. In some implementations, the substrate temperature during etching under the second conditions may be between about 20°C and about 300°C or between about 30°C and about 200°C. In some implementations, the chamber pressure during etching under the second conditions may be between about 1 mTorr and about 200 mTorr or between about 5 mTorr and about 80 mTorr. In some implementations, the RF plasma power for a 300-mm substrate during etching under the second conditions can range between about 300 W and about 10 kW, or between about 2 kW and about 5 kW. Where dual -frequency RF power is used to generate plasma, the first frequency component (e g., low frequency such as 400 kHz) may be provided at a power between about 3 kW and about 24 kW, and the second frequency component (e.g., high frequency such as 60 MHz) may be provided at a lower power such as between about 0.5 kW and about 10 kW.
[0082] Etching during the second phase of the etch cycle may extend the depth of the plurality of features through the alternating layers of the first material and the second material. Etching during the second phase of the etch cycle does not etch more than one layer of the alternating layers of the first material and the second material. The second phase of the etch cycle etches through a layer of the second material or a remainder of the layer of the second material, and stops on an underlying layer of the first material. After the second phase of the etch cycle, the depth of each of the plurality of features is the same or substantially the same because the etch stops on the underlying layer of the first material. As used herein, the term“substantially the same” with respect to the depth of each of the plurality of features refers to depth values within plus or minus 2 percent of a stated value. The second phase of the etch cycle is self-limiting and allows the plurality of features to catch up to one another in terms of depth. During the second phase of the etch cycle, the selective etch chemistry may cause certain polymer species and etch byproducts to deposit on sidewalls and surfaces of the plurality of features. However, an amount of the polymer species and etch byproducts deposited from the second phase is less than an amount deposited from the first phase of the etch cycle. In other words, fewer polymer species and etch byproducts are deposited from the second phase of the etch cycle. Furthermore, etching during the second phase of the etch cycle may clean up debris/fragments from the deposited polymer species and etch byproducts, making such deposited species on the sidewalls and surfaces of the plurality of features more uniform compared to deposited species from the first phase of the etch cycle. Without being limited by any theory, when the etch stops on the underlying layer of the first material, the etch removes deposited polymer species and etch byproducts at the bottom surfaces of the plurality of features, and the etch continues laterally to remove debris/fragments of some of the polymer species and etch byproducts deposited along sidewalls of the plurality of features. Thus, not only does the selective etch process during the second phase of the etch cycle add fewer polymer species and etch byproducts, the selective etch process also removes some polymer species and etch byproducts deposited from the first phase of the etch cycle.
[0083] In some implementations, etching in the first phase and etching in the second phase occur in the reaction chamber without introducing a vacuum break in between operations. The use of a single reaction chamber for performing non-selective and selective etch processes may increase throughput, minimize fabrication complexity, and reduce fabrication costs associated with forming the plurality of features in the substrate. Processing conditions may be the same or relatively similar in the non-selective and selective etch processes. The etch chemistry may be different in the non-selective and selective etch processes. However, the difference in etch chemistry between the non-selective and selective etch processes may be limited to facilitate ease of transition between the operations. In other words, there is not much of a difference in etch chemistry between the non-selective and selective etch processes. For example, a non-selective etch chemistry can have one or more fluorocarbons, fluoromethanes, and COS and a selective etch chemistry can have the same chemistry except without the one or more fluoromethanes and COS.
[0084] Figure 6A shows a cross-sectional schematic of an example substrate with openings etched through alternating layers of materials following a non-selective etch and a subsequent selective etch according to some implementations. Figure 6B shows a top plan view schematic illustration of the substrate in Figure 6A following the non-selective etch and the subsequent selective etch. A substrate 600 includes a plurality of layers of a first material 612 and a plurality of layers of a second material 616 altematingly disposed or interleaved between the plurality of layers of the first material 612. The substrate 600 further includes a mask 620 overlying the plurality of layers of the first material 612 and the plurality of layers of the second material 616. In some implementations, the first material 612 can be a nitride material such as silicon nitride. In some implementations, the second material 616 can be an oxide material such as silicon oxide. In some implementations, the mask 620 can be an organic mask or metal-doped mask.
[0085] Features such as openings 610 may be defined by the mask 620. A non-selective etch is performed that etches through a top layer of the second material 616, a top layer of the first material 612, and partially through a layer of the second material 616 as shown in Figures 6A and 6B. The openings 610 are formed by further performing a selective etch following the non-selective etch that etches through a remainder of the layer of the second material 616 and stops on an underlying layer of the first material 612. In some implementations, the openings 610 may be formed by performing a selective etch that etches through the top layer of the second material 616 followed by a non-selective etch that etches through the top layer of the first material 612 and partially through the layer of the second material 616. Etch byproducts 604 are formed along sidewalls of the openings 610. The etch byproducts 604 may include one or more polymers such as fluorinated polymers and/or metal -impregnated polymers. As shown in Figures 6A and 6B, the etch byproducts 604 may be uniformly deposited along the sidewalls of the openings 610. Some of the etch byproducts 604 formed from the first phase of the etch cycle are“cleaned up” or otherwise removed. Some of the etch byproducts 604 are formed from the second phase of the etch cycle and passivate the sidewalls of the openings 610. Without being limited by any theory, the non- selective etch chemistry adds more polymerizing materials on the bottom surfaces and sidewalls of openings 610 after the first phase of the etch cycle than the selective etch chemistry after the second phase of the etch cycle. As the selective etch chemistry proceeds downwards and laterally, it removes some of the polymerized materials formed from the non- selective etch chemistry on the bottom surfaces and sidewalls of the openings 610. The remaining etch byproducts 604 form a relatively uniform coating along the sidewalls of the openings 610, which can be seen in Figure 6B. In some implementations, the relatively uniform coating of the etch byproducts 604 serves to passivate the sidewalls of the openings 610. The relatively uniform coating of the etch byproducts 604 decreases sidewall roughness, improves etch profile uniformity, and improves local critical dimension uniformity.
[0086] In some implementations, the second phase of the etch cycle may last for a duration to sufficiently etch the layer of the second material but not over-etch any underlying or surrounding layers. Over-etch can lead to bowing in the features caused by lateral etching of certain regions during the second phase of the etch cycle. The duration of the second phase of the etch cycle may be optimized to etch the layer of the second material, limit bowing, and clean up at least some debris/fragments of polymer species and etch byproducts. The duration of the second phase of the etch cycle may depend on the materials and aspect ratio of the features. Other processing conditions and settings can influence optimization of the duration of the second phase of the etch cycle. In some implementations, the duration of the second phase of the etch cycle may be between about 2 seconds and about 20 seconds or between about 5 seconds and about 10 seconds. In some implementations, the duration can be determined empirically or using appropriate endpoint technology.
[0087] Returning to Figure 4, at block 440 of the process 400, one or more etch cycles are repeated to form a plurality of features through the alternating layers of the first material and the second material in the substrate. Each etch cycle has the first phase and the second phase that is repeated until a desired or final depth is reached. In some implementations, the final depth can be between about 1 pm and about 10 pm, between about 2 pm and about 6 pm, or between about 3 pm and about 5 pm. Several etch cycles may be repeated to reach the final depth. In some implementations, at least 5 etch cycles, at least 10 etch cycles, at least 20 etch cycles, or at least 30 etch cycles are repeated until the final depth is reached.
[0088] Each etch cycle includes or consists of a selective and a non-selective etch phase. Each etch cycle may etch through at least a pair of layers in a film stack or multiple pairs of layers in the film stack. [0089] The duration of each phase of the etch cycle can change as the depth increases. This may be due in part to the increasing aspect ratio of the features with an increasing number of etch cycles. In some implementations, the duration of the first phase of the etch cycle becomes shorter with an increasing number of etch cycles, and the duration of the second phase of the etch cycle becomes longer with an increasing number of etch cycles.
[0090] Etch cycles are repeated to not only achieve a desired depth for the plurality of features, but etch cycles are repeated to minimize sidewall roughness. Sidewall roughness, which may also be referred to as hole roughness, may be characterized by deviations from linearity. In some implementations, sidewall roughness may be calculated as the difference of the standard shape and the detected edge. For example, by using N points of edge position from a profile, the length from a center“r” will be Fourier Transformed and N numbers of Fourier Coefficient are calculated, and the standard shape is calculated by approximating n numbers of low frequency components of the calculated Fourier Coefficient. In some implementations, the plurality of features have a sidewall roughness equal to or less than about 2.0 nm for a given inspection length. Etch cycles are repeated to also achieve depth uniformity among the plurality of features. In some implementations, the plurality of features have a depth that is the same or substantially similar. In addition, etch cycles are repeated to improve local critical dimension uniformity (LCDU) among the plurality of features. LCDU is the feature-to-feature CD variation on a local scale, defined as 3s value of the CD distribution. By reducing striations, twisting, waviness, and surface roughness in the features, the LCDU improves. In some implementations, the plurality of features have an LCDU that is equal to or less than about 3.0 nm.
[0091] In some implementations, the process 400 may further include etching, prior to etching in the first phase of the etch cycle, at least partially through a layer of the second material under conditions in the reaction chamber that are selective to the second material against the first material. In other words, the non-selective etch performed at block 420 may be preceded by a selective etch. The selective etch may etch through the layer of the second material (e.g., oxide) and stop on an underlying layer of the first material (e.g., nitride). Conditions for performing the selective etch are described at block 430 of the process 400.
[0092] Figure 7 shows cross-sectional schematic illustrations of an example substrate with alternating layers of materials undergoing multiple etch cycles according to some implementations. The substrate 700 includes a plurality of layers of a first material 712 and a plurality of layers of a second material 716 altematingly disposed or interleaved between the plurality of layers of the first material 712. The substrate 700 includes a mask 720 overlying the plurality of layers of the first material 712 and the plurality of layers of the second material 716. In some implementations, the first material 712 includes a nitride and the second material 716 includes an oxide. In some implementations, the mask 720 can be an organic mask or a metal-doped mask.
[0093] At step (a) of Figure 7, a plurality of openings 710 are formed through a top layer of the plurality of layers of the second material 716, where the plurality of openings 710 are defined by the mask 720. The plurality of openings 710 stop on a top layer of the plurality of layers of the first material 712. Accordingly, step (a) of Figure 7 shows the substrate 700 following a selective etch that removes a layer of the second material 716 without removing a layer of the first material 712. Etch byproducts may be formed along sidewalls of the openings 710.
[0094] At step (b) of Figure 7, a pair of layers from the alternating layers of the first material 712 and the second material 716 are etched. A layer of the first material 712 underlying the top layer of the second material 716 is etched, and a layer of the second material 716 underlying the layer of the first material 712 is etched. Etching the pair of layers from the alternating layers of the first material 712 and the second material 716 may involve an etch cycle having a non-selective etch and a selective etch. The etch cycle stops on a layer of the first material 712.
[0095] At step (c) of Figure 7, another pair of layers from the alternating layers of the first material 712 and the second material 716 are etched. An etch cycle having a non-selective etch and a selective etch is repeated following the previous etch cycle shown in step (b). The etch cycle stops on a layer of the first material 712.
[0096] At step (d) of Figure 7, multiple pairs of layers from the alternating layers of the first material 712 and the second material 716 are etched. Multiple etch cycles each having a non-selective etch and a selective etch are repeated following the etch cycles shown in steps (b) and (c). Etch cycles are repeated until a desired or final depth of the openings 710 is achieved. Etch byproducts may be formed along sidewalls of the openings 710.
[0097] Figure 8A shows an SEM image of openings through alternating layers of materials following a non-selective etch. The etch chemistry includes C4F8, C4F6, CH2F2, COS, O2, and Kr. The hole diameter is about 80 nm. Wafer temperature is set at about 40°C and the chamber pressure is about 15 mTorr. The alternating layers of materials consist of alternating layers of silicon oxide and silicon nitride. A non-selective etch is performed to form a plurality of openings through the alternating layers of materials to a depth of about 5.4 pm. An average sidewall roughness within three standard deviations for the openings is measured to be about 3.0 nm.
[0098] Figure 8B shows an SEM image of openings through alternating layers of materials following multiple etch cycles each including or consisting of a selective etch and non- selective etch. The etch chemistry includes C4F8, C4F6, CH2F2, COS, 02, and Kr during the non-selective etch and C4F8, C4F6, 02, and Kr during the selective etch. The hole diameter is about 80 nm. Wafer temperature is set at about 40°C and the chamber pressure is about 15 mTorr. The alternating layers of materials consist of alternating layers of silicon oxide and silicon nitride. Multiple etch cycles each consisting of a selective etch and a non-selective etch are performed to form a plurality of openings through the alternating layers of materials to a depth of about 5.4 pm. An average sidewall roughness within three standard deviations for the openings is measured to be about 1.2 nm. Accordingly, the etch process of the present disclosure can improve sidewall roughness from 3.0 nm to 1.2 nm.
[0099] Figure 9A shows an SEM image of openings through alternating layers of materials following a non-selective etch. The etch chemistry includes C4F8, C4F6, CH2F2, COS, 02, and Kr. The hole diameter is about 80 nm. Wafer temperature is set at about 40°C and the chamber pressure is about 15 mTorr. The alternating layers of materials consist of alternating layers of silicon oxide and silicon nitride. A non-selective etch is performed to form a plurality of openings through the alternating layers of materials. As shown in Figure 9A, the depths of the plurality of openings vary as a result of the non-selective etch. In other words, the non-selective etch creates depth variation among the plurality of openings.
[0100] Figure 9B shows an SEM image of openings through alternating layers of materials following multiple etch cycles each including or consisting of a selective etch and a non- selective etch. The etch chemistry includes C4F8, C4F6, CH2F2, COS, 02, and Kr during the non-selective etch and C4F8, C4F6, 02, and Kr during the selective etch. The hole diameter is about 80 nm. Wafer temperature is set at about 40°C and the chamber pressure is about 15 mTorr. The alternating layers of materials consist of alternating layers of silicon oxide and silicon nitride. Multiple etch cycles each consisting of a selective etch and a non-selective etch are performed to form a plurality of openings through the alternating layers of materials to a depth of about 5.1 pm. As shown in Figure 9B, the depths of the plurality of openings are relatively uniform following the etch process of the present disclosure. [0101] The apparatus/process described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
Conclusion
[0102] In the foregoing description, numerous specific details are set forth to provide a thorough understanding of the presented implementations. The disclosed implementations may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed implementations. While the disclosed implementations are described in conjunction with the specific implementations, it will be understood that it is not intended to limit the disclosed implementations.
[0103] Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims

What is claimed is:
1. A method of etching a substrate with alternating layers of a first material and a second material in a reaction chamber, the method comprising: etching, in a first phase of an etch cycle, at least one or more layers of the first material, wherein etching in the first phase is performed under first conditions in the reaction chamber that are non-selective between the first material and the second material; etching, in a second phase of the etch cycle, at least through a layer of the second material without etching through a layer of the first material, wherein etching in the second phase is performed under second conditions in the reaction chamber that are selective to the second material against the first material; and repeating one or more etch cycles to form a plurality of features through the alternating layers of the first material and the second material in the substrate.
2. The method of claim 1, wherein the first material includes a nitride and the second material includes an oxide.
3. The method of claim 1, wherein the first material includes polysilicon and the
second material includes an oxide.
4. The method of claim 1, wherein the substrate includes a mask over the alternating layers of the first material and the second material, wherein the mask is a metal- doped mask.
5. The method of claim 1, wherein the substrate includes a mask over the alternating layers of the first material and the second material, wherein the mask includes a silicon-containing mask or a carbon-containing mask.
6. The method of claim 1, wherein the first conditions include a fluorine-based etchant and a hydrogen-based etchant, and wherein the second conditions include the fluorine-based etchant without the hydrogen-based etchant.
7. The method of claim 6, wherein the fluorine-based etchant includes C4FX, C4F6,
CF4, or combinations thereof, and wherein the hydrogen-based etchant includes CH3F, CH2F2, CHF3, CH4, H2, or combinations thereof.
The method of claim 6, wherein etching in the first phase of the etch cycle includes flowing the fluorine-based etchant and the hydrogen-based etchant in a gas phase towards the substrate, and generating a plasma of the fluorine-based etchant and the hydrogen-based etchant, and wherein etching in the second phase of the etch cycle includes flowing the fluorine-based etchant in a gas phase towards the substrate, and generating a plasma of the fluorine-based etchant. 9. The method of any one of claims 1-8, wherein during etching in the first phase, a selectivity for the second material against the first material, or vice versa, is between about 2: 1 and about 1 : 1, and wherein during etching in the second phase, a selectivity for the second material against the first material is greater than about 7: 1.
10. The method of any one of claims 1-8, wherein each of the plurality of features has a depth to lateral dimension aspect ratio equal to or greater than about 10: 1.
11. The method of claim 10, wherein each of the plurality of features has a sidewall roughness equal to or less than about 2.0 nm.
12. The method of claim 10, wherein each of the plurality of features is the same or substantially the same in depth. 13. The method of claim 10, wherein the plurality of features have a local critical
dimension uniformity (LCDU) equal to or less than about 3.0 nm.
14. The method of any one of claims 1-8, wherein etching in the first phase of the etch cycle etches through one or more layers of the second material and/or at least partially through a layer of the second material. 15. The method of any one of claims 1-8, wherein etching in the second phase of the etch cycle removes etch byproducts formed during the first phase of the etch cycle.
16. The method of any one of claims 1-8, wherein repeating one or more etch cycles includes repeating at least 10 etch cycles.
17. The method of any one of claims 1-8, wherein the etching in the first phase and etching in the second phase occur in the reaction chamber without introducing a vacuum break between operations.
18. The method of any one of claims 1-8, further comprising: etching, prior to etching in the first phase of the etch cycle, at least partially through a layer of the second material under conditions in the reaction chamber that are selective to the second material against the first material.
19. An apparatus comprising: a reaction chamber; a substrate support for supporting a substrate in the reaction chamber, the substrate having alternating layers of a first material and a second material; and a controller configured with instructions for performing the following operations: etching, in a first phase of an etch cycle, at least one or more layers of the first material, wherein etching in the first phase is performed under first conditions in the reaction chamber that are non-selective between the first material and the second material; etching, in a second phase of the etch cycle, at least through a layer of the second material without etching through a layer of the first material, wherein etching in the second phase is performed under second conditions in the reaction chamber that are selective to the second material against the first material; and repeating one or more etch cycles to form a plurality of features through the alternating layers of the first material and the second material in the substrate. 20. The apparatus of claim 19, wherein the first material includes a nitride and the second material includes an oxide.
21. The apparatus of claim 19, wherein each of the plurality of features has a depth to lateral dimension aspect ratio equal to or greater than about 10: 1, wherein each of the plurality of features has a sidewall roughness equal to or less than about 2.0 nm, wherein each of the plurality of features is the same or substantially the same in depth, and wherein the plurality of features have a local critical dimension uniformity (LCDU) equal to or less than about 3.0 nm.
22. The apparatus of any one of claims 19-21, wherein the etching in the first phase and etching in the second phase occur in the reaction chamber without introducing a vacuum break between operations.
23. The apparatus of any one of claims 19-21, wherein the controller is further
configured with instructions for performing the following operation: etching, prior to etching in the first phase of the etch cycle, at least partially through a layer of the second material under conditions in the reaction chamber that are selective to the second material against the first material.
PCT/US2019/043279 2018-07-31 2019-07-24 Non-selective and selective etching through alternating layers of materials WO2020028119A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862712890P 2018-07-31 2018-07-31
US62/712,890 2018-07-31

Publications (1)

Publication Number Publication Date
WO2020028119A1 true WO2020028119A1 (en) 2020-02-06

Family

ID=69232091

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/043279 WO2020028119A1 (en) 2018-07-31 2019-07-24 Non-selective and selective etching through alternating layers of materials

Country Status (1)

Country Link
WO (1) WO2020028119A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI828187B (en) * 2021-06-22 2024-01-01 日商東京威力科創股份有限公司 Etching method and plasma processing apparatus

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080048142A (en) * 2006-11-28 2008-06-02 동부일렉트로닉스 주식회사 Method for making passivation in semiconductor device
US20140004708A1 (en) * 2012-07-02 2014-01-02 Novellus Systems, Inc. Removal of native oxide with high selectivity
US20150097276A1 (en) * 2013-10-03 2015-04-09 Applied Materials, Inc. Etching oxide-nitride stacks using c4f6h2
US20160064212A1 (en) * 2014-08-29 2016-03-03 Lam Research Corporation Contact clean in high-aspect ratio structures
JP2017050529A (en) * 2015-08-12 2017-03-09 セントラル硝子株式会社 Dry etching method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080048142A (en) * 2006-11-28 2008-06-02 동부일렉트로닉스 주식회사 Method for making passivation in semiconductor device
US20140004708A1 (en) * 2012-07-02 2014-01-02 Novellus Systems, Inc. Removal of native oxide with high selectivity
US20150097276A1 (en) * 2013-10-03 2015-04-09 Applied Materials, Inc. Etching oxide-nitride stacks using c4f6h2
US20160064212A1 (en) * 2014-08-29 2016-03-03 Lam Research Corporation Contact clean in high-aspect ratio structures
JP2017050529A (en) * 2015-08-12 2017-03-09 セントラル硝子株式会社 Dry etching method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI828187B (en) * 2021-06-22 2024-01-01 日商東京威力科創股份有限公司 Etching method and plasma processing apparatus

Similar Documents

Publication Publication Date Title
JP7460727B2 (en) Atomic layer etching, reactive precursors, and energy sources for patterning applications
JP6646978B2 (en) Contact cleaning for high aspect ratio structures
US11170997B2 (en) Atomic layer deposition and etch for reducing roughness
TWI699831B (en) Method and apparatus for anisotropic tungsten etching
KR101425629B1 (en) Smooth siconi etch for silicon-containing films
CN107045969B (en) Chamber for patterning non-volatile metals
TW201642339A (en) Method for achieving ultra-high selectivity while etching silicon nitride
TW201936965A (en) Atomic layer deposition and etch in a single plasma chamber for critical dimension control
CN110998804A (en) High aspect ratio selective lateral etch using cyclic passivation and etch
KR20150103642A (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
CN112640064A (en) Metal-containing passivation for high aspect ratio etch
WO2020123562A2 (en) Etching carbon layer using doped carbon as a hard mask
US20210017643A1 (en) Chamfer-less via integration scheme
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
US20230223263A1 (en) Inert gas implantation for hard mask selectivity improvement
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
CN114402416A (en) Oxidation profile tuning for substrate processing
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
US20220181141A1 (en) Etch stop layer
JP2023501371A (en) Plasma-enhanced atomic layer deposition by increasing RF power
TWI834675B (en) Efficient cleaning and etching of high aspect ratio structures
CN115720596A (en) High selectivity, low stress and low hydrogen carbon hard mask at low pressure with wide gap electrode spacing

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19843714

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19843714

Country of ref document: EP

Kind code of ref document: A1