TWI619144B - 用於多重圖案化之利用可灰化硬遮罩間隙塡充的影像反轉 - Google Patents

用於多重圖案化之利用可灰化硬遮罩間隙塡充的影像反轉 Download PDF

Info

Publication number
TWI619144B
TWI619144B TW102146185A TW102146185A TWI619144B TW I619144 B TWI619144 B TW I619144B TW 102146185 A TW102146185 A TW 102146185A TW 102146185 A TW102146185 A TW 102146185A TW I619144 B TWI619144 B TW I619144B
Authority
TW
Taiwan
Prior art keywords
layer
ahm
photoresist
etching
gap
Prior art date
Application number
TW102146185A
Other languages
English (en)
Other versions
TW201503228A (zh
Inventor
納德 莎瑪
史貴凡迪 巴頓J 凡
瑟利西K 瑞迪
季春海
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201503228A publication Critical patent/TW201503228A/zh
Application granted granted Critical
Publication of TWI619144B publication Critical patent/TWI619144B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明提供使用影像反轉之用於多重圖案化的複數方法及設備。該等方法可包含使用沉積-蝕刻-灰化法沉積間隙填充可灰化硬遮罩以填充半導體基板的圖案中之間隙、且使用單一蝕刻平坦化法去除間隔部蝕刻步驟。如此方法可針對半導體製造中的雙重圖案化、多重圖案化、及二維圖案化技術而執行。

Description

用於多重圖案化之利用可灰化硬遮罩間隙填充的影像反轉
本發明係關於使用影像反轉之用於多重圖案化的方法及設備。
圖案化方法對於半導體處理至關重要。具體而言,雙重圖案化已經用以使微影技術延伸到其光學限度之外。影像反轉法已經用於執行雙重圖案化以減少半導體元件製造中所涉及遮罩步驟的數目。正型及負型雙重圖案化程序兩者中的雙重圖案化(尤其是線條圖案化)方法皆涉及間隔部及遮罩的使用。現行方法提供不佳、不穩定且脆弱的遮罩,該等遮罩無法在半導體中提供高深寬比特徵部的有效形成。減少程序操作之方法亦由於提高的效率及產量而受偏好。
在一實施態樣中提供處理半導體基板的方法。該方法可涉及將圖案從上覆之光阻轉移至核心可灰化硬遮罩(AHM)層、將保形膜沉積覆滿基板上之圖案化核心AHM層、將間隙填充AHM層沉積覆滿該保形膜、利用蝕刻該保形膜及間隙填充AHM層兩者的程序將該基板平坦化以移除上覆在該核心AHM層的該保形膜、及選擇性地蝕刻該保形膜以形成遮罩。
在一些實施例中,基板係藉由使氧(O2)及氦(He)分別以大約20sccm及大約200sccm流動大約30秒而平坦化。接著,可將基板曝露在包含體積上大約1000份去離子(DI)水對上大約1份無水HF之氫氟酸水溶液中大約30秒。基板亦可藉由使CF4及Ar分別以大約5sccm及大約100sccm 流動10秒且使CHF3及CF4分別以大約15sccm及大約80sccm流動30秒而經過二步驟電漿蝕刻程序。在不同實施例中,基板係在介於大約10℃及大約50℃之間的溫度且在介於大約2Torr及大約20Torr之間的壓力而平坦化。在許多實施例中使用電漿蝕刻將基板平坦化。
在一些實施例中,圖案包含帶有介於大約1:1及大約5:1之間的深寬比之特徵部。在不同實施例中,目標層係以遮罩而圖案化。在一些實施例中,在將圖案從上覆之光阻轉移到核心AHM層之前,將圖案藉由脈衝功率從上覆之光阻同時轉移到底部之抗反射層及頂蓋層。
在一些實施例中,間隙填充AHM層係藉由以下各者而沉積:(a)使用電漿增強化學氣相沉積使碳氫化合物流動,直到圖案中特徵部之間的間隙開口寬度縮減為止、(b)以實質上垂直於基板之主要非等向性軸心非等向性地蝕刻該基板、(c)將(a)及(b)重複X循環,其中X為正整數、及(d)將該基板灰化以移除表面上之局部化的碳膜堆積。在一些實施例中,碳氫化合物為甲烷、乙炔及丙烯。
在另一實施態樣中,處理半導體基板的方法可涉及在第一維度中將圖案從上覆之光阻轉移到核心第一可灰化硬遮罩(AHM)層、將第一保形膜沉積覆滿基板上之圖案化核心AHM層、將第二AHM層沉積覆滿第一保形膜、選擇性地蝕刻該第二AHM層及該核心第一AHM層以顯露出圖案化之第一保形膜、使用該圖案化之第一保形膜蝕刻下方的蝕刻停止層、沉積間隙填充之第三AHM層、沉積第二光阻並在第二維度中微影定義之、將第二保形膜沉積覆滿第二圖案化之光阻、選擇性地蝕刻該第二保形膜以顯露出該第二圖案化之光阻、選擇性地蝕刻第二圖案化之光阻、選擇性地蝕刻該間隙填充之第三AHM層、及選擇性地蝕刻下方的頂蓋層以形成二維遮罩。
在一些實施例中,該方法亦涉及在第二AHM層上沉積第三光阻層並微影定義之,俾以形成阻擋遮罩;且使用該阻擋遮罩選擇性地蝕刻該第二AHM層。在一些實施例中,該方法亦涉及利用二維遮罩將目標層圖案化。
在一些實施例中,沉積間隙填充之第三AHM層更涉及(a) 使用電漿增強化學氣相沉積使碳氫化合物流動,直到圖案中特徵部之間的間隙開口寬度縮減為止、(b)以實質上垂直於基板之主要非等向性軸心非等向性地蝕刻該基板、(c)將(a)及(b)重複X循環,其中X為正整數、及(d)將該基板灰化以移除表面上之局部化的碳膜堆積。在一些實施例中,碳氫化合物為甲烷、乙炔及丙烯。
在不同實施例中,第二圖案更包含帶有介於大約1:1及大約5:1之間的深寬比之特徵部。在一些實施例中,第二保形膜係在小於大約80℃的溫度加以沉積。
另一實施態樣涉及包含以下各者之半導體處理工具:一或更多處理腔室、進入該一或更多處理腔室之一或更多進氣口及相關流動控制硬體、低頻射頻(LFRF)產生器、高頻射頻(HFRF)產生器、及具備至少一處理器及記憶體之控制器。在不同實施例中,至少一處理器及記憶體係通訊上彼此連接,該至少一處理器至少在操作上與流動控制硬體、LFRF產生器、及HFRF產生器連接,且該記憶體儲存電腦可執行指令。在許多實施例中,電腦可執行指令可用於控制該至少一處理器以至少控制流動控制硬體、HFRF產生器、及LFRF產生器來:蝕刻基板以將圖案從上覆之光阻轉移到核心可灰化硬遮罩(AHM)層、將保形膜沉積覆滿基板上之圖案化核心AHM層、將間隙填充AHM層沉積覆滿該保形膜、使用蝕刻該保形膜及間隙填充AHM層兩者之平坦化程序以移除上覆在該核心AHM層的該保形膜、及選擇性地蝕刻該保形膜以形成遮罩。
在一些實施例中,電腦可執行指令更包含用於在平坦化程序中使氧(O2)及氦(He)分別以大約20sccm及大約200sccm流動的指令。在不同實施例中,用於沉積間隙填充AHM層之電腦可執行指令更包含用於以下各者的指令:(a)使用電漿增強化學氣相沉積使碳氫化合物流動,直到圖案中特徵部之間的間隙開口寬度縮減為止、(b)以實質上垂直於基板之主要非等向性軸心非等向性地蝕刻該基板、(c)將(a)及(b)重複X循環,其中X為正整數、及(d)將該基板灰化以移除表面上之局部化的碳膜堆積。
另一實施態樣涉及帶有以下各者之半導體處理工具:一或更多處理腔室、進入該一或更多處理腔室之一或更多進氣口及相關流動控制 硬體、低頻射頻(LFRF)產生器、高頻射頻(HFRF)產生器、及具備至少一處理器及記憶體之控制器。在不同實施例中,至少一處理器及記憶體係通訊上彼此連接,該至少一處理器至少在操作上與流動控制硬體、LFRF產生器、及HFRF產生器連接,且該記憶體儲存電腦可執行指令,以供控制該至少一處理器以至少控制該流動控制硬體、HFRF產生器、及LFRF產生器進行:在第一維度中蝕刻基板以將圖案從第一上覆光阻轉移到核心第一可灰化硬遮罩(AHM)層、將第一保形膜材料在基板上流動以使第一保形膜覆滿圖案化之核心第一AHM層而形成、使第一碳氫化合物流動以將間隙填充之第二AHM層沉積覆滿該第一保形膜、蝕刻該第二AHM層及第一AHM層以顯露出圖案化之第一保形膜、蝕刻下方之蝕刻停止層、使第二碳氫化合物流動以將第三AHM層沉積覆滿該蝕刻停止層、在該第三AHM層上沉積第二光阻並在第二維度中微影定義該第二光阻、使第二保形膜材料流動以在第二圖案化之光阻上形成第二保形膜、蝕刻該第二保形膜以顯露出第二圖案化之光阻、選擇性地蝕刻該第三AHM層、及蝕刻下方之頂蓋層以形成二維遮罩。
在不同實施例中,電腦可執行指令亦控制至少一處理器以至少控制流動控制硬體、HFRF產生器、及LFRF產生器進行:在第二AHM層上沉積第三光阻並微影定義之以形成阻擋遮罩且使用該阻擋遮罩選擇性地蝕刻該第二AHM層。
在許多實施例中,用於使碳氫化合物流動以沉積第三AHM層的電腦可執行指令更包含用於以下各者的指令:(a)使用電漿增強化學氣相沉積使碳氫化合物流動,直到圖案中特徵部之間的間隙開口寬度縮減為止、(b)以實質上垂直於基板之主要非等向性軸心非等向性地蝕刻該基板、(c)將(a)及(b)重複X循環,其中X為正整數、及(d)將該基板灰化以移除表面上之局部化的碳膜堆積。
這些及其它實施態樣係參照圖式而於以下進一步描述。
1-18‧‧‧感測器
100‧‧‧方法
101‧‧‧目標層
103‧‧‧蝕刻停止層
105‧‧‧核心層/核心AHM層
107‧‧‧頂蓋層
109‧‧‧底部抗反射塗覆(BARC)層
111‧‧‧光阻
113‧‧‧保形層
150‧‧‧AHM層
1600‧‧‧方法
1700‧‧‧反應器
1702‧‧‧低頻射頻(LFRF)產生器
1704‧‧‧高頻射頻(HFRF)產生器
1706‧‧‧匹配網路
1708‧‧‧歧管
1710‧‧‧來源氣體管線
1712‧‧‧入口
1714‧‧‧噴淋頭
1716‧‧‧基板
1718‧‧‧晶圓基座
1720‧‧‧加熱器塊體
1722‧‧‧出口
1724‧‧‧腔室
1730‧‧‧控制器
1740‧‧‧真空泵
1800‧‧‧感應耦合式電漿蝕刻設備
1801‧‧‧腔室
1802‧‧‧子腔室
1803‧‧‧子腔室
1811‧‧‧窗
1817‧‧‧夾頭
1819‧‧‧晶圓
1821‧‧‧匹配電路
1822‧‧‧閘口
1823‧‧‧RF電源
1825‧‧‧連接部
1827‧‧‧連接部
1830‧‧‧控制器
1833‧‧‧線圈
1839‧‧‧匹配電路
1840‧‧‧真空泵
1841‧‧‧RF電源
1843‧‧‧連接部
1845‧‧‧連接部
1849‧‧‧法拉第屏蔽部
1850‧‧‧柵
1860‧‧‧注射閘口
1870‧‧‧注射閘口
1920a-1920d‧‧‧處理模組
1922‧‧‧機器人
1924‧‧‧末端受動器
1926‧‧‧晶圓
1928‧‧‧模組中心
1930‧‧‧氣閘模組
1932‧‧‧機器人
1934‧‧‧前開式晶圓傳送盒(FOUP)
1936‧‧‧面
1938‧‧‧真空傳送模組(VTM)
1940‧‧‧大氣傳送模組(ATM)
1942‧‧‧負載埠模組(LPM)
1944‧‧‧對準器
圖1A-1F顯示根據所揭露實施例之多層堆疊的圖案化程序 之示意性說明。
圖1G為用於根據不同實施例處理半導體基板之程序流程圖。
圖2A-15A顯示藉由根據所揭露實施例之程序所圖案化的多層堆疊之前視圖的示意性說明範例。
圖2B-15B顯示藉由根據所揭露實施例之程序所圖案化的多層堆疊之側視圖的示意性說明範例。
圖7C-9C及14C-15C顯示藉由根據所揭露實施例之程序所圖案化的多層堆疊之頂視圖的示意性說明範例。
圖16為用於根據不同實施例處理半導體基板之程序流程圖。
圖17繪示一簡易方塊圖,其顯示用以執行根據所揭露實施例之技術而安排的各種反應器構件。
圖18繪示說明用以執行根據所揭露實施例之技術的系統之剖面示意圖。
圖19描繪根據所揭露實施例之多站叢集工具的實施例。
諸多特定細節係在以下描述中提出以提供所呈現實施例之徹底了解。所揭露實施例可在沒有這些特定細節之部分或全部的情況下施行。在其它情況中,廣為人知的程序操作已不詳加描述,俾以不無謂地混淆所揭露之實施例。儘管所揭露之實施例將搭配特定實施例加以描述,惟須了解並非意圖限制所揭露之實施例。
雙重圖案化為使微影技術延伸到其光學限度之外的關鍵技術,且目前係針對小於大約80nm之任何間距而廣泛地用於工業中。現行的雙重圖案化技術經常使用側壁間隔部及二遮罩步驟以圖案化出溝槽。然而,對於線條的圖案化,線條遮罩經常具有錯誤的極性(polarity)而無法同時圖案化出寬特徵部。因此,經常需要第三遮罩步驟以圖案化出寬特徵部,造成額外的遮罩步驟。使用更多遮罩步驟造成整體來說更加昂貴且較沒效 率的圖案化方法。
已提出影像反轉以避免第三遮罩步驟。然而,習知的影像反轉方案帶來各種困難。舉例來說,已提出一些旋塗式(spin-on)薄膜,但其較為脆弱且無法維持穩定、不需支撐的結構。如此薄膜亦可能由於毛細作用及其它機械效應而破壞像是側壁間隔部之小型特徵部。這些材料亦經常不具備對於先進節點而言所必須的圖案化選擇性。此外,一些習知的方法可能在所沉積間隙填充層中造成帶有間隙或孔洞的結構,因而弱化其用做遮罩或用於圖案化步驟中的能力。
在此提供藉由使用由沉積-蝕刻-灰化法所沉積之可灰化硬遮罩(AHM)將步驟去除而執行雙重圖案化及影像反轉圖案化的方法。這些方法去除雙重圖案化程序中的步驟,藉此增加將半導體元件圖案化的效率並減少將半導體元件圖案化的成本。此外,如此方法製造出特別用於小型、高深寬比之特徵部的耐久、堅固之遮罩,其可針對任何線條臨界尺寸特別調整且可有效用於一維及二維圖案化兩者、以及三重或四重圖案化程序中。
利用堅固之遮罩及減少之蝕刻步驟的影像反轉雙重圖案化
在此提供的方法以針對圖案化而製備的多層堆疊開始。如此堆疊可為適用於半導體處理之晶圓。圖1A提供可包含在多層堆疊中的各層之範例的示意說明。圖1A中的多層堆疊包含目標層101,其可為最終被圖案化之層。目標層可為半導體層、介電層或其它層,且可由例如矽(Si)、二氧化矽(SiO2)、氮化矽(SiN)、或氮化鈦(TiN)所形成,且可藉由原子層沉積(ALD)、化學氣相沉積(CVD)、或其它合適的沉積技術而沉積。
在目標層101上方之層為可選的蝕刻停止層103。蝕刻停止層可用以在遮罩於上方層中形成的同時保護目標層。蝕刻停止層可包含矽,像是氮化矽或非晶矽。
在蝕刻停止層103上方之層為核心層105。核心層105可為AHM。AHM可為非晶碳材料,其可具有相對於堆疊中其它材料(例如像是矽及或/矽基氧化物或氮化物)之高蝕刻選擇性且其可透光。AHM可藉由電漿增強式CVD(PECVD)而沉積在蝕刻停止層103上方,PECVD可涉及在沉積腔室中從包含碳氫化合物前驅物之沉積氣體產生電漿。在不同實施例 中,碳氫化合物前驅物可由式C x H y 定義,其中x為介於2到10之間的整數,而y為介於2到24之間的整數。範例包含甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、環己烷(C6H12)、苯(C6H6)、及甲苯(C7H8)。可使用包含高頻(HF)功率及低頻(LF)功率之雙重射頻(RF)電漿源。
在核心層105上方為可選的頂蓋層107。頂蓋層107可由介電材料形成,像是富矽氧化物(SiO2、SiOx…等)、矽氮氧化物(SiOxNy)、氮化矽(SiN)、非晶矽(a-Si)、或矽酸四乙酯(Si(OC2H5)4或TEOS)。頂蓋層107可由含氮化合物(像是SiN)形成或可為無氮(像是SiO2)。在一些實施例中,頂蓋層107可為無氮抗反射塗覆層(NFARL)。
在頂蓋層107上方為可選的底部抗反射塗覆(BARC)層109。BARC層可由高分子材料(CxHyOz)形成且可藉由旋塗法沉積。
在BARC層109上方為光阻層111,其可被微影圖案化。
在此提供之程序去除保形膜蝕刻步驟,藉此在圖案化方案中去除一步驟並提高效率。由圖1A-1F中的示意說明顯示之程序提供實施例的概略性繪示。
在圖1A中,將多層膜堆疊之光阻111圖案化。將光阻圖案化可在像是光阻處理系統(track system)(例如可從DAINIPPON SCREEN取得之Sokudo RF3)之任何微影設備中藉由任何習知微影方法來完成。
在圖1B中,將光阻111的圖案轉移到BARC層109,使得BARC層109受蝕刻而同步修整圖案。在一些實施例中,於此一步驟程序內使用功率脈衝執行非等向性蝕刻以將圖案蝕刻至BARC層109,同時執行等向性乾蝕刻來修整圖案。舉例來說,在一些實施例中可將像是CF4之CFx化合物及功率以大約200Hz的頻率交替地脈衝。CF4的流率可介於大約50sccm及大約200sccm。其它可在此步驟中脈衝的氣體包含氦(He)。
在圖1C中,進一步將圖案轉移至核心層105,並將光阻111及BARC層109兩者移除。在此程序中應注意,已經圖案化之可選頂蓋107可能具有縮減的厚度。此圖案轉移可藉由例如使用六氟化硫(SF6)及CxFyHz在大約10mTorr的壓力及大約30℃的溫度下之電漿蝕刻而蝕刻。光阻111及BARC層109可在核心AHM蝕刻期間藉由氧(O2)及氦(He)移除。
在圖1D中,將保形層113沉積在頂蓋層107上方。保形層113亦可稱做「間隔部」且可沉積為符合多層堆疊上之圖案的形狀而在整個圖案上製作出一平均分佈的層。
在一些實施例中,保形層113係由像是SiO2之介電材料形成。保形層113可為氧化物或氮化矽(SiN)。間隔部可在沉積設備中藉由ALD、PEALD、PECVD、或其它合適的沉積方法而沉積。以下條件為適用於藉由PEALD程序沉積氧化矽保形層的條件範例:溫度可介於大約50℃及400℃之間(例如大約50℃);壓力可介於大約0.5Torr及大約10Torr之間(例如大約2-3Torr);針對四300mm晶圓,為了不損害基板,RF功率可介於大約100及2500W(例如大約500-600W)。可使用之處理氣體包含做為矽來源之矽醯胺(silicon amide)(例如BTBAS-二(第三丁基氨基)矽烷、BDEAS-二(二乙基氨基)矽烷、DIPAS-二異丙基氨基矽烷)且獨自或共同包含做為氧來源、以例如氬或氮之惰性載送氣體稀釋之氧或一氧化二氮。處理氣體流率可如下:對於(液體)矽前驅物(BTBAS、BDEAS、DIPAS),在大約1及3ccm之間,例如BTBAS在大約2.5ccm;對於氧前驅物(O2、N2O),在大約5000sccm及10000sccm之間,例如N2O在大約5000sccm;而對於載送氣體(Ar或N2),在大約0及10000sccm之間,例如Ar在大約5000sccm。保形層具有相對於核心之高蝕刻選擇性。
亦在此步驟期間,在圖1D中將間隙填充AHM層150沉積在保形層113上方。間隙填充AHM層150可使用沉積-蝕刻-灰化技術沉積。
如此技術可在PECVD平台進行,該PECVD平台容許在比起其它PECVD技術帶有減少或最小孔洞的情況下,藉由非晶碳材料的高深寬比特徵部(例如具有高到12:1之深寬比及30nm以下之間隙寬度)之填充。
交替之((沉積+蝕刻)X+灰化)Y程序可在PECVD平台上執行以將間隙填充AHM 150沉積到具有由保形層113上圖案所定義的間隙特徵部之基板上。與參照圖1A及核心層105用做碳氫化合物變化之整數的「x」及「y」相反,如在此使用之變數「X」及「Y」可指程序循環重複,其為所執行之個別程序循環的數目。可進行進入溝槽的沉積,直到溝槽開口縮減,例如關閉或收窄到由於頂部沉積而使溝槽內的後續沉積減緩到令人無法接 受的位置。然後,可將程序切換到非等向性蝕刻程序,且接著到灰化程序。此技術之概略程序操作可包含例如:(1)PECVD沉積步驟,其中將碳膜沉積到間隙特徵部內,直到間隙內的沉積由於間隙特徵部的入口被間隙頂部沉積的碳所關閉而減緩到令人無法接受或停止、(2)高離子濺鍍步驟,其中碳膜係藉由包含H2及Ar之蝕刻化學移除以將間隙重新打開以供進一步之薄膜沉積、及(3)第三步驟,其中調整蝕刻程序條件以進行灰化程序,該灰化程序係用以優先移除在與間隙開口相鄰之基材表面上方、通常稱做「高帽」的碳累積物。在蝕刻步驟之前可將沉積及高離子濺鍍步驟兩者重複若干循環,且在蝕刻步驟之後可再次重複沉積及高離子濺鍍步驟以漸進地填充由保形層113之圖案所定義的間隙。這些交替的步驟可在未中斷真空的情況下於一程序路徑中執行。化學-物理結合之蝕刻可用以優先移除沉積在溝槽頂部的薄膜,卻較少蝕刻沉積於溝槽中的薄膜。
間隙填充AHM可使用PECVD程序沉積。就這方面而言,沉積步驟可能涉及將帶有前驅物之處理氣體流入腔室以沉積間隙填充AHM層150。可使用像是用於非晶碳及AHM沉積程序者之任何合適的碳氫化合物前驅物,像是乙炔(C2H2)。處理氣體可僅包含一類碳氫化合物前驅物。其它處理氣體可包含氫、氮、氦、氬、或其它惰性氣體。可使用射頻(RF)源在這些處理氣體中使電漿激起,並可接著藉由所致之PECVD程序將AHM層150沉積在間隙中。
可進行沉積程序,直到溝槽開口關閉或收窄到由於頂部沉積而使溝槽內的後續沉積減緩到令人無法接受的位置。舉例來說,當沉積速率降低到沉積循環起始時沉積速率的大約40%到60%時,沉積循環之沉積速率便可能減緩到令人無法接受。在其它實施例中,沉積速率方面可能或多或少有降低。
可執行非等向性蝕刻以移除間隙開口的沉積碳膜。非等向性蝕刻操作可為以高離子濺鍍方案為特徵的電漿蝕刻,在該高離子濺鍍方案中,碳膜係藉由包含氫(H2)及氬(Ar)之蝕刻化學而從間隙開口移除,因而將開口重新打開以供進一步的薄膜沉積。重新打開之間隙容許間隙特徵部進一步的間隙填充而形成無孔洞之間隙填充AHM層150。可使蝕刻操作進行 一給定期間,例如相關於造成間隙大致上重新打開到間隙開口稍微底切之位置的足夠碳膜移除的期間。在一些實施例中,可進行蝕刻操作直到頂面上的碳膜完全或接近完全被移除,且然後停止。此可避免蝕刻程序除了將上覆之AHM層150以外亦將多層堆疊中的材料移除。
舉例來說,高離子濺鍍方案可由一程序環境提供,該程序環境包含相較於低離子濺鍍方案的高流動之氬(Ar)氣、高位準LFRF功率、及高壓,該低離子濺鍍方案可以氬(Ar)氣流、低位準LFRF功率、及低壓為特徵。
然後可將用以沉積間隙填充AHM層150之沉積及蝕刻操作重複複數次以持續填充圖案中的間隙。在不同實施例中,這些步驟可重複1到100次之間。在若干沉積及蝕刻循環後,在與間隙開口相鄰之每一間隙頂部的累積物(通常稱做「高帽」特徵部)形成,且這些可藉由執行灰化操作移除,該灰化操作利用經較佳地調整以移除與每一間隙開口相鄰之基板表面頂部的頂帽碳累積之程序條件。
用以沉積間隙填充AHM層150之沉積、蝕刻、及灰化步驟可於相同或類似的循環中重複Y次,直到間隙被填滿。取決於由圖案所產生間隙的高度及其它考量,這些步驟可重複至少1循環或介於2到1000循環。因此,程序可藉由式((沉積-蝕刻)X+灰化)Y描述。
用於圖1D中間隙填充AHM層150的沉積之合適的程序參數顯示於下表中。表1提供適於執行碳沉積-蝕刻-灰化間隙填充程序的實施例之程序參數的整體性範圍,該碳沉積-蝕刻-灰化間隙填充程序可用於實施所揭露實施例。
表2提供用於根據供沉積間隙填充AHM層150用之間隙填充程序實施例之合適的沉積-蝕刻-灰化程序條件之特定範例的參數。
在其它實施例中,程序可藉由下式描述:((沉積-灰化)X+蝕刻)Y。具體而言,根據這些實施例,灰化係在蝕刻所沉積碳膜之前完成。蝕刻/灰化及灰化/蝕刻的順序可藉著像是由圖案所形成間隙的深寬比及產量考量之因素而決定。用於將AHM沉積到間隙內之沉積-蝕刻技術上的額外細節係於在此併入做為參考之美國公開專利申請案第13/896729號中討論。
回到圖1E,將基板在單一合併之蝕刻步驟中回蝕(etched back)或平坦化到核心層105,該合併之蝕刻步驟以使所致堆積實質上平坦的速率蝕刻保形層113及間隙填充AHM層兩者,以移除上覆在核心AHM層105上之保形膜。舉例來說,可將基板回蝕,直到顯露出核心AHM層105。或 者是,一旦上覆在核心AHM區域之所有保形層材料被移除且例如頂蓋層107留在核心AHM層105上,平坦化之蝕刻便可停止。此合併之蝕刻平坦化步驟可使用化學-機械平坦化或拋光。基板可藉由使氧(O2)及氦(He)分別以大約20sccm及大約200sccm流動大約30秒而平坦化。接著,可使基板曝露在氫氟酸水溶液中。對於大約30秒而言,氫氟酸水溶液可為體積上大約1000份去離子(DI)水對上大約1份無水HF。基板亦可藉由使CF4及Ar分別以大約5sccm及大約100sccm流動大約10秒且使CHF3及CF4分別以大約15sccm及大約80sccm流動大約30秒而歷經二步驟電漿蝕刻程序。
平坦化到核心層105可在介於大約10℃及大約20℃之間的溫度且在介於2mTorr及大約20mTorr之間的壓力下執行。假如使用之保形膜包含SiO2及SiN化學,則可將基板蝕刻介於大約10秒及大約60秒之間的時間。
此步驟中的蝕刻方法可涉及電漿蝕刻。注意在此步驟中,如圖1E所示,不像習知的雙重圖案化技術,保形膜113並非在蝕刻到核心層105之前受到蝕刻。
在圖1F中,非等向性地蝕刻保形層113以形成遮罩,使得圖案化之遮罩藉由間隙填充AHM 150及核心AHM 105的無支撐結構加以定義。起因於如上述的沉積法之間隙填充AHM的堅固性促進該層做為用以蝕刻後續層的遮罩之有效率且大量的運用。然後此遮罩可用以蝕刻後續層且最終蝕刻目標層101。在此步驟中的蝕刻可在大約30℃及大約70℃之間的溫度且在大約5mTorr及大約10mTorr之間的壓力下執行。可使用電漿蝕刻將基板蝕刻介於大約20秒及大約60秒之間的時間。
圖1G為代表用以根據不同實施例處理半導體基板之方法100的程序流程圖。在操作162中,圖案係從光阻轉移至核心AHM層。在操作164中,將保形膜沉積覆滿圖案化之核心AHM層。在操作166中,將間隙填充AHM層沉積覆滿保形膜。在操作168中,將基板以蝕刻保形膜及間隙填充AHM層兩者的程序加以平坦化以移除上覆在核心AHM層上之保形膜。在操作170中,使保形膜選擇性地受到蝕刻以形成遮罩。可選地,可將遮罩圖案化至目標層。
使用此程序方案中沉積的間隙填充AHM提供堅固的碳膜而產生帶有少於大約2nm(3標準差)的低線邊粗糙度之精細圖案。用於間隙填充層之沉積方法所致的AHM在最終遮罩圖案中形成高度穩定、無支撐的結構,該結構可禁得起撓曲或龜裂。如此AHM相對於大部分其它薄膜而言亦非常具有選擇性。此外,單一蝕刻平坦化的處理方案去除圖案化中之保形膜或間隔部蝕刻步驟,因而增加效率且降低成本。
利用影像反轉及堅固之遮罩的二維圖案化
在此提供揭露實施例中所述方法的另一應用之範例。在此應用中,用以形成低於微影間距之孔洞的二維圖案化係使用以上述方法沉積之堅固的間隙填充AHM而達成。圖2A-15C繪示此二維圖案化範例中各程序步驟之示意性說明。在以下描述中,以「A」標記的圖式繪示三維、圖案化用之基板多層堆疊的前視圖。以「B」標記的圖式繪示相同堆疊的側視圖,而以「C」標記的圖式繪示堆疊的頂視圖,其中頂視圖的底側對應到堆疊的前視圖。注意以下描述及相應圖式為僅供例示。
如圖2A所示提供基板之多層堆疊。堆疊的底層為目標層,其可為最終受到蝕刻的層。目標層上方為遮罩層,其可為AHM層。如此層可為一層類鑽石的碳,或高度具選擇性且可透光之碳基層。此後將此層稱做「遮罩AHM層」。遮罩AHM層上方為頂蓋層,其可可選地為蝕刻停止層。蝕刻停止層或頂蓋層可為以上關於圖1A中層103或107所列舉者之任一。為了描述的目的,此後將此層稱做「頂蓋」層。頂蓋層上方為蝕刻停止層,其可為以上關於圖1A中層103所列舉者之任一。蝕刻停止層上方為核心AHM層,其可具有以上關於圖1A所述之核心AHM層105的性質之任一。核心AHM層上方為另一「頂蓋」層,其同樣可由以上關於圖1A之頂蓋層107所列舉的材料之任一者而形成。頂蓋層上方為BARC層,其可為以上關於圖1A中BARC層109所列舉的材料之任一者。堆疊的頂部為微影圖案化之光阻(PR),其可由以上關於圖1A之光阻層111所列舉的材料之任一者而形成。
在此步驟中,於多層薄膜堆疊上設置圖案化之PR。注意在圖2B中,PR層從堆疊之前方延伸到堆疊之後方,代表PR圖案涉及從藉圖 2A代表之堆疊的後方延長到堆疊的前方之PR條紋。
在圖3A中,將圖案轉移到BARC層,使得BARC層受到蝕刻而圖案同步被修整。此步驟與如上述、顯示於圖1B之步驟相似。於蝕刻設備中執行時,相關於圖1B之條件的任何者可用於此步驟中。在此注意側視圖圖3B顯示圖案係在一維度上受到蝕刻,使得BARC顯露成從堆疊之後方延長到前方的條紋。
在圖4A中,進一步將圖案透過頂蓋層轉移到核心層並將PR及BARC層兩者移除。注意此步驟與以上相關於圖1C所描述的步驟相似且用於以上圖1C中一維蝕刻步驟之條件及方法的任何者可在此步驟中加以執行。注意圖4B中之側視圖顯示PR及BARC兩者皆已剝除。
在圖5A中,在頂蓋層上方沉積保形層、或間隔部。與如上述之圖1D相似,保形層在此可稱做間隔部。間隔部可為氧化物或氮化矽且係為了在整個圖案上形成平均分配的層而沉積。間隔部可在沉積設備中藉由ALD、PEALD、PECVD、或其它合適的沉積方法沉積。以下條件為適於藉由PEALD程序沉積氧化矽保形層之條件的範例:溫度可介於大約50℃及大約400℃之間,例如大約50℃;壓力可介於大約0.5Torr及大約10Torr之間,例如大約2-3Torr之間;針對四300mm的晶圓,為了不損壞基板,RF功率可介於大約100及2500W之間,例如大約500-600W。可用之處理氣體包含做為矽來源之矽醯胺(silicon amide)(例如BTBAS-二(第三丁基氨基)矽烷、BDEAS-二(二乙基氨基)矽烷、DIPAS-二異丙基氨基矽烷)且獨自或共同包含做為氧來源、以例如氬或氮之惰性載送氣體稀釋之氧或一氧化二氮。處理氣體流率可如以下:對於(液體)矽前驅物(BTBAS、BDEAS、DIPAS),在大約1及3ccm之間,例如BTBAS在大約2.5ccm;對於氧前驅物(O2、N2O),在大約5000sccm及10000sccm之間,例如N2O在大約5000sccm;而對於載送氣體(Ar或N2),在大約0及10000sccm之間,例如Ar在大約5000sccm。注意圖5B中之側視圖將在頂蓋層上方所沉積的間隔部層顯示成剖面圖。
在圖6A中,將間隙填充AHM層沉積覆滿保形膜以填充由圖案所產生的間隙。在此步驟中的AHM層沉積與如以上相關於圖1D所述 者之沉積相似且可使用上述之沉積-蝕刻-灰化方法及條件。亦可將PECVD抗反射層(ARL)(未顯示)沉積在AHM層上方,使ARL具有相對於下方AHM層的蝕刻選擇性。
或者是,可藉由旋塗法沉積此碳基層。假如碳層係藉由旋塗法沉積,則可在該碳層上方沉積非常薄的矽基抗反射塗覆(Si-ARC)層(未顯示),使該薄層具有相對於下方之碳基間隙填充層的蝕刻選擇性。
在此相同圖式中,在PECVD ARL上方沉積光阻並將其圖案化以在二維之圖案化堆疊內定義其中不希望有孔洞的區域之形狀。此圖案可接著用來做為阻擋遮罩。在此情況中,如圖6A所示的阻擋遮罩涵蓋從堆疊左緣的正內部到堆疊中間半途的短距離,且如側視圖圖6B所示朝向堆疊前緣為相對薄。在一些實施例中,光阻可以碳為基礎並可具抗反射性。
在圖7A中,使用光阻阻擋遮罩蝕刻間隙填充AHM層,使得PECVD ARL、間隙填充AHM、及PR被打開。進一步將間隔部蝕刻到蝕刻停止層,造成核心AHM及間隔部的不同圖案化區塊,以維持待形成孔洞的形狀。以下可為用於蝕刻間隙填充AHM層以依循藉光阻阻擋遮罩所做的圖案、及移除間隙填充AHM層的合適處理條件。溫度可介於大約30℃及大約50℃之間,壓力可介於大約2mTorr及大約10mTorr之間,可用之處理氣體包含O2、SO2及He,其可分別以大約10sccm到大約70sccm、大約100sccm到大約200sccm、及大約100sccm到大約300sccm的流率流動。此步驟可在適當的蝕刻設備中進行。
注意側視圖圖7B顯示做為三層光阻之部份的剩餘間隔部及AHM及在該三層光阻下方的核心AHM層。頂視圖係提供於圖7C中,其顯示該處已沉積AHM、位於堆疊左下角之孔洞圖案,使得該孔洞圖案較靠近藉由圖7A所代表之堆疊前方,且比堆疊右緣更靠近藉由圖7B所代表之堆疊左方。在此步驟中顯示二維圖案的逐漸形成。
在圖8A中,將核心AHM層使用灰化方法完全灰化且亦將保形層上方的AHM層移除,留下剩餘的間隔部圖案,包含阻擋遮罩已在該處定義待製作孔洞的間隔部之下方的頂蓋及核心層。注意由於間隔部於定義之孔洞位置覆蓋在其下方的頂蓋及核心AHM層,因此圖8B中的側視圖 顯示從側邊僅可在頂層中看見間隔部,而剩餘的蝕刻停止層、頂蓋、遮罩AHM、及目標層在下方。圖8C中的頂視圖同樣僅顯示間隔部條紋之間的蝕刻停止層,且所定義之孔洞圖案亦受到間隔部覆蓋。
灰化程序可在本質上更具等向性,因為其主要相依於材料移除用的化學交互作用而非像是在蝕刻AHM層中之高能離子的方向性運動。舉例來說,曝露在用於灰化操作的處理氣體之任何表面皆可能由於該曝露而歷經材料移除,所以用於核心中及阻擋遮罩下方的AHM材料可具備相對於間隔部之高蝕刻選擇性,使得間隔部不會在AHM層被灰化時受到蝕刻。此外,與一些化學蝕刻程序相反,灰化操作可產生完全處於氣相之反應產物。因此,灰化操作可不產生微粒副產物,該微粒副產物可能污染晶圓,就像利用其它類型的化學材料移除程序所可能的情況。舉例來說,碳膜用的灰化操作可利用可與碳膜反應而形成如此氣相反應副產物之解離H2或O2做為處理氣體。以上列於表1中「灰化」欄內的條件之任何者皆可用於此步驟中來灰化核心AHM及間隔部上方的AHM層。
在圖9A中,使用間隔部做為遮罩以將蝕刻停止層蝕刻至頂蓋層,從而使圖案轉移到蝕刻停止層。然後,間隔部係藉由蝕刻法移除,留下帶有定義之孔洞的圖案化蝕刻停止層。注意在圖9B之側視圖中,在左緣之蝕刻停止層為單一條紋,使該條紋從堆疊後方延伸至前方。圖9C中的頂視圖進一步顯示由間隔部及蝕刻停止層下方的所致頂蓋層所定義的蝕刻停止層條紋。
以下程序條件係為了將圖案從間隔部轉移到蝕刻停止層而使用。溫度可介於大約50℃及大約70℃之間,壓力可介於大約5mTorr及大約10mTorr之間,可用之處理氣體包含Cl2及HBr,其可分別以大約20sccm到40sccm及100sccm到300sccm的流率流動。
間隔部可使用以下程序條件而移除。溫度可介於大約50℃及大約70℃之間,壓力可介於大約2mTorr及大約20mTorr之間,可用之處理氣體包含CHF3及CF4,其可分別以大約30sccm到大約50sccm及大約50sccm到大約100sccm的流率流動。
在圖10A中,沉積間隙填充AHM層,後接薄的PECVD ARL,然後沉積光阻並將其圖案化。在這些步驟中,間隙填充AHM層可藉由以上相關於圖1D所述之沉積-蝕刻-灰化法加以沉積,且ARL及光阻層的沉積及圖案化可具有像是以上相關於圖6A-6B所述者之程序條件。這些層可在沉積設備中沉積。由於所定義孔洞係遠離堆疊前緣而設置,所以來自圖10A前視圖之圖案繪示個別的蝕刻停止層條紋。因此,當間隙填充AHM沉積在蝕刻停止層條紋之間時,間隙填充AHM亦填充所定義孔洞前方之蝕刻停止層條紋之間的間隙。
或者是,可沉積新的頂蓋層、蝕刻停止層、或非矽基之抗反射層而非ARL。注意亦在此步驟,光阻於其它方向受到圖案化,因而形成從堆疊左側延長至堆疊右側的條紋。此係由圖10B所示之側視圖較佳地繪示,其中將光阻顯示成以條紋沉積在ARL及間隙填充AHM層上方。
進一步注意由藉著PECVD之沉積-蝕刻-灰化法所沉積的間隙填充AHM層提供非常堅固且強的層,該層填充藉由蝕刻停止層遮罩所定義之精細特徵部。這些穩定的結構在尤其是針對非常精細之圖案的圖案化步驟中為不可或缺的。
可選地,光阻可在沉積設備中加以修整。假如光阻係以期望之臨界尺寸而微影圖案化,則可略過可選之修整步驟。用於在沉積設備中修整的合適條件可為以下各者之組合的任一者。溫度可介於大約40℃及60℃之間,壓力可介於大約500mTorr及大約1Torr之間,可用之處理氣體包含氧(O2)及氬(Ar),其可分別以大約50sccm到100sccm及200sccm到400sccm的流率流動。
在圖11A中,將保形層或間隔部沉積在光阻圖案上方。注意由於圖案條紋從左到右延伸,所以如圖11A所示之前視圖繪示PECVD ARL上方的固體間隔部層。如圖11B所示之側視圖顯示間隔部保形地沉積成光阻圖案的形狀。間隔部可藉由ALD沉積,且可以矽為基礎,像是由SiO2形成。間隔部可在低於大約80℃的溫度沉積,尤其是假如光阻材料容易在大約100℃或以上之溫度改變。
在圖12A及12B中,將間隔部往下蝕刻,直到顯露出光阻且亦顯露出PECVD ARL為止。如圖12A所示,前視圖僅顯示對於間隔部厚 度的一些蝕刻,但圖12B中的側視圖顯示間隔部被往下蝕刻到PECVD ARL,使得光阻接著顯露。此蝕刻可在蝕刻設備中利用以下條件進行。溫度可介於大約40℃及60℃之間,壓力可介於大約5mTorr及大約20mTorr之間,可用之處理氣體包含CF4及HBr,其可分別以大約50sccm到200sccm及大約50sccm到200sccm的流率流動。
在圖13A及13B中,將光阻往下蝕刻到PECVD ARL並加以移除,僅留下剩餘的間隔部圖案。此光阻蝕刻步驟可在蝕刻設備中利用以下條件執行。溫度可介於大約40℃及大約60℃之間,壓力可介於大約5mTorr及大約20mTorr之間,可用之處理氣體包含O2,其可以大約100sccm到大約200sccm的流率流動。
在圖14A、14B及14C中,使用間隔部做為遮罩將間隔部下方的PECVD ARL及間隙填充AHM層往下蝕刻到下方的頂蓋層。注意在圖14A之前視圖中,少量的間隔部可能留在PECVD ARL上方。圖14B所示的側視圖清楚顯示藉由向下蝕刻並在頂蓋層停止所形成的圖案,但是由於堆疊的圖案化,定義出圖案第一維度之蝕刻停止層的部份係透過殘留在間隔部層底下的間隙填充AHM之間的間隙而顯示。從圖14C中之頂視圖可見的是底部之蝕刻步驟停止於該處的頂蓋層,以及在先前步驟中圖案化、帶有定義之孔洞的蝕刻停止層,而與蝕刻停止層交纏的則是圖案化的間隙填充AHM層,由於圖案線條之每一者上方少量的剩餘間隔部材料,該間隙填充AHM層係藉由間隔部圖案以水平橫跨條紋代表。
此步驟可在蝕刻設備中利用以下條件發生。溫度可介於大約30℃及50℃之間,壓力可介於大約2mTorr及大約10mTorr之間,可用之處理氣體包含O2、SO2及He,其可分別以大約10sccm到70sccm、及大約10sccm到200sccm、及大約100sccm到300sccm的流率流動。
在此注意使用於沉積-蝕刻-灰化法中沉積的間隙填充AHM在此步驟中尤其重要,因為間隙填充AHM層具有所需之穩定且堅固的結構,該結構定義帶有低線條粗糙度、無撓曲或龜裂的這些線條。
最後,在圖15A-15C中,藉由使用間隙填充AHM層做為遮罩而將圖案轉移到頂蓋層。蝕刻停止在遮罩AHM層,因而在頂蓋層中形成 精細之特徵部。然後,將間隔部、PECVD ARL、及間隙填充AHM層灰化並移除,使得所致之二維圖案保留在堆疊上。注意在圖15B之側視圖中,由於在此蝕刻期間,蝕刻停止層可能在頂蓋層被蝕刻時稍微受到蝕刻,所以頂面為些許鋸齒狀。儘管如此,如圖15C所示之帶有定義孔洞的二維圖案係在此步驟中形成。現在可以使用二維圖案使圖案轉移到遮罩,並使用遮罩層將目標層圖案化以完成圖案化程序。
在此步驟中可使用以下條件及方法。溫度可介於大約50℃及90℃之間,壓力可介於大約5mTorr及大約100mTorr之間,可用之處理氣體包含Cl2、HBr及He,其可分別以大約10sccm到100sccm、大約10sccm到100sccm、及大約100sccm到200sccm的流率流動。
圖16為根據所揭露實施例之方法1600的選定操作之程序流程圖。在操作1662中,在第一維度中將圖案從第一光阻轉移到核心第一AHM層。在操作1664中,將第一保形膜沉積覆滿圖案化之核心第一AHM層。在操作1666中,將第二AHM層沉積覆滿第一保形膜。在操作1668中,選擇性地蝕刻第一及第二AHM層以顯露出圖案化之第一保形膜。在操作1670中,使用圖案化之第一保形膜將蝕刻停止層加以蝕刻。在操作1672中,沉積間隙填充AHM層。
在操作1674中,沉積第二光阻並在第二維度中微影定義之。在操作1676中,將第二保形膜沉積覆滿第二圖案化之光阻。在操作1678中,選擇性地蝕刻第二保形膜以顯露出第二圖案化之光阻。在操作1680中,選擇性地蝕刻第二圖案化之光阻。在操作1682中,選擇性地蝕刻間隙填充第三AHM層。在操作1684中,選擇性地蝕刻頂蓋層以形成二維遮罩。可選地,二維遮罩可接著用以將目標層圖案化。
設備
在此提供之沉積技術可在電漿增強化學氣相沉積(PECVD)反應器中執行。如此反應器可具有許多形式,且可為包含可各自容納一或更多晶圓且可配置成執行不同晶圓處理操作的一或更多腔室或「反應器」(有時候包含複數站)之設備的一部分。該一或更多腔室可將晶圓維持在一或複數定義位置(在該位置內有或無動作,例如轉動、振動或其它擾動)。在一執 行方式中,可在程序期間於反應器腔室內將經薄膜沉積的晶圓從一站轉移到另一站。在其它執行方式中,可於設備內將晶圓從腔室傳送到腔室以執行不同操作,像是蝕刻操作或微影操作。完整的薄膜沉積可整體地在單一站進行,或是任一沉積步驟(保形膜沉積或間隙填充AHM層沉積之沉積步驟)的總膜厚之任何部份可在任何數目之站或腔室沉積。
在製程進行期間,每一晶圓可藉由基座、晶圓夾頭、及/或其它晶圓固持設備固持在定位。對於其中晶圓將被加熱的若干操作,設備可包含加熱器,像是加熱板。由加州Fremont之Lam Research Corp.所製造之VectorTM(例如C3 Vector)或SequelTM(例如C2 Sequel)反應器兩者皆為可用以執行在此描述技術之合適反應器的範例。
圖17提供繪示針對實施在此描述方法而安排之不同反應器構件的簡單方塊圖。如所示,反應器1700包含處理腔室1724,處理腔室1724圍繞反應器的其它構件且用以容納藉由電容放電式系統所產生的電漿,該電容放電式系統包含與接地之加熱器塊體1720共同運作的噴淋頭1714。高頻(HF)射頻(RF)產生器1704及低頻(LF)RF產生器1702可連接到匹配網路1706及連接到噴淋頭1714。藉由匹配網路1706所供應的功率及頻率可足以從供應到處理腔室1724的處理氣體產生電漿。舉例來說,匹配網路1706可提供100W到5000W的HFRF及100W到5000W之LFRF功率總能量。在典型的程序中,HFRF構件大致上可介於5MHz到60MHz之間,例如13.56MHz。在其中有LF構件的操作中,LF構件可從大約100kHz到2MHz,例如430kHz。
在反應器內,晶圓基座1718可支撐基板1716。晶圓基座1718可包含夾頭、叉、或升降銷(未顯示)以在沉積及/或電漿處理反應期間與之間固持及傳送基板。夾頭可為靜電夾頭、機械夾頭、或如針對工業上使用及/或針對研究所可取得者之不同其它類型的夾頭。
不同處理氣體可經由入口1712引進。複數來源氣體管線1710係連接到歧管1708。氣體可預先混合或否。可運用適當的閥門及質流控制機構以確保在程序之沉積及電漿處理階段期間輸送正確的處理氣體。在其中(複數)化學前驅物係以液體形式輸送的情況下,可運用液流控制機 構。如此液體可接著在到達沉積腔室之前於歧管內輸送期間汽化並與處理氣體混合,該歧管被加熱到以液體形式供應之化學前驅物的汽化點以上。
處理氣體可經由出口1722離開腔室1724。例如一或二階段機械乾式泵及/或渦輪分子泵之真空泵1740可用以將處理氣體吸出處理腔室1724、並藉由使用像是節流閥或擺閥之閉迴路控制式流動限制裝置於處理腔室1724內維持適當低壓。
如以上所討論,在此討論之沉積用技術可在多站或單站工具中執行。在特定執行方式中,可使用具備4站沉積方案的300mm Lam VectorTM工具或具備6站沉積方案的200mm SequelTM工具。在一些執行方式中,可使用處理450mm晶圓用的工具。在不同執行方式中,可在每一沉積及/或沉積後電漿處理之後將晶圓分度,或是假如蝕刻腔室或站亦為相同工具之部份的話,可在蝕刻步驟之後將晶圓分度,或是可在將晶圓分度之前於單一站執行複數沉積及處理。
在一些實施例中,可設置用以執行在此所述技術的設備。合適的設備可包含執行不同程序操作用的硬體以及具備用於根據所揭露實施例而控制程序操作的指令之系統控制器1730。系統控制器1730通常會包含一或更多記憶體裝置及一或更多處理器,一或更多記憶體裝置及一或更多處理器通訊上與例如閥、RF產生器、晶圓搬運系統…等不同程序控制設備連接並配置成執行指令,使得設備將執行根據所揭露實施例之技術(例如像是圖1之沉積步驟中所提供者之技術)。包含用於控制根據本揭露內容之程序操作的指令之機器可讀媒體可耦接到系統控制器1730。控制器1730可在通訊上與像是質流控制器、閥、RF產生器、真空泵…等不同硬體裝置連接以幫助與如在此描述之沉積、蝕刻、及灰化操作相關的不同程序參數之控制。
在一些實施例中,系統控制器1730可控制反應器1700之活動的所有者。系統控制器1730可執行儲存於大量儲存裝置、載入記憶體裝置、並在處理器執行之系統控制軟體。系統控制軟體可包含用於控制氣流時間點、晶圓運動、RF產生器啟動…等的指令,以及用於控制氣體之混合物、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF 功率位準、基板基座、夾頭、及/或承受器位置、及藉反應器設備1700執行之特定程序的其它參數之指令。系統控制軟體可以任何合適方式而配置。舉例來說,可撰寫不同的處理工具構件副程式或控制物件以控制實施不同處理工具程序所必須之處理工具構件的操作。系統控制軟體可以任何合適的電腦可讀程式語言加以編碼。
系統控制器1730通常可包含一或更多記憶體裝置及配置成執行指令之一或更多處理器,使得設備將執行根據本揭露內容之技術。包含用於控制根據所揭露實施例之程序操作的指令之機械可讀媒體可耦接至系統控制器1730。
在此描述之方法及設備可與像是下述者之微影圖案化工具或程序共同使用以供半導體元件、顯示器、LED、光電板…等的製造或生產。儘管並非必要,不過一般來說,如此工具/程序會於共同的製造設施中一起使用或執行。薄膜之微影圖案化通常包含以下步驟之部分或整體,每一步驟利用一些可能的工具來執行:(1)使用旋塗或噴佈工具在工件(即基板或如所接露實施例所提供之多層堆疊)上施加光阻;(2)使用熱板或爐或UV固化工具將光阻固化;(3)利用像是晶圓步進機之工具將光阻曝露在可見或UV或X射線光中;(4)使用像是濕檯之工具將光阻顯影以選擇性地移除光阻並藉此將其圖案化;(5)藉由使用像是下述者之乾式或電漿輔助蝕刻工具將光阻圖案轉移到像是碳基間隙填充AHM層之下方薄膜或工件中;及(6)使用像是RF或微波電漿光阻剝除機之工具將光阻去除。在一實施方式中,晶圓上的一或更多間隙特徵部係使用如在此所述之技術以碳膜加以填充。舉例來說,碳膜可接著用於在此描述的目的之一。進一步而言,實施方式可包含上述步驟(1)至(6)之一或更多者。
圖18示意性地顯示根據在此之若干實施例的感應耦合式電漿蝕刻設備1800的剖面圖。由加州Fremont之Lam Research Corp.所製造的KiyoTM反應器為可用以執行在此所述技術的合適反應器之範例。感應耦合式電漿蝕刻設備1800包含結構上由腔室1801及窗1811所定義之整體蝕刻腔室。腔室1801可由不鏽鋼或鋁來製造。窗1811可由石英或其它介電材料來製造。可選之內部電漿柵1850將整體蝕刻腔室分隔成上部子腔室1802及下 部子腔室1803。在大部份的實施例中可移除電漿柵1850,藉此利用由子腔室1802及1803所形成的腔室空間。夾頭1817定位在下部子腔室1803內接近底部內表面處。夾頭1817配置成接收並固持蝕刻程序在其上執行之半導體晶圓1819。夾頭1817可為存在時用於支撐晶圓1819的靜電夾頭。在一些實施例中,邊緣環(未顯示)在存在於夾頭1817以外時環繞夾頭1817,且具備近乎平坦於晶圓1819之頂面的上表面。夾頭1817亦包含夾持及釋放晶圓用之靜電電極。可針對此目的設置濾波器及DC夾持夾持電源(未顯示)。亦可設置用於使晶圓1819升離夾頭1817的其它控制系統。可使用RF電源1823將夾頭1817電性地充電。RF電源1823透過連接部1827連接到匹配電路1821。匹配電路1821透過連接部1825連接到夾頭1817。以此方式,RF電源1823係連接到夾頭1817。
線圈1833定位在窗1811上方。線圈1833係由導電材料所製造且包含至少完整一圈。圖18所示之範例線圈1833包含三圈。線圈1833之剖面係以符號顯示,且具有「X」的線圈旋轉地延伸進入頁面,而具有「●」的線圈旋轉地延伸出頁面。RF電源1841配置成供應RF功率至線圈1833。大致上,RF電源1841透過連接部1845連接到匹配電路1839。匹配電路1839透過連接部1843連接到線圈1833。以此方式,RF電源1841係連接到線圈1833。可選之法拉第屏蔽部1849定位於線圈1833及窗1811之間。法拉第屏蔽部1849係以相對於線圈1833的間隔關係而維持。法拉第屏蔽部1849設置於窗1811的正上方。線圈1833、法拉第屏蔽部1849、及窗1811各自配置成實質上彼此平行。法拉第屏蔽部可防止金屬或其它物種沉積在電漿腔室的介電窗上。
處理氣體可透過定位在上部腔室之主要注射閘口1860及/或透過有時稱做STG的側注射閘口1870來供應。例如一或二階段機械乾式泵及/或渦輪分子泵之真空泵1840可用以將處理氣體吸出腔室1801並藉由使用像是節流閥(未顯示)或擺閥(未顯示)之閉迴路控制式流動限制裝置於腔室1801內維持適當低壓。
在設備操作期間,可透過注射閘口1860及/或1870供應一或更多反應物氣體。在若干實施例中,氣體可僅透過主要注射閘口1860、或 僅透過側注射閘口1870來供應。在一些情況中,注射閘口可為噴淋頭取代。法拉第屏蔽部1849及/或可選之柵1850可包含容許處理氣體輸送到腔室之內部通道及孔洞。法拉第屏蔽部1849及可選之柵1850之其一或兩者皆可做為用於處理氣體之輸送的噴淋頭。
射頻功率係從RF電源1841供應到線圈1833以造成RF電流流過線圈1833。流過線圈1833之RF電流產生環繞線圈1833之電磁場。電磁場在上部子腔室1802內產生感應電流。各種產生之離子及自由基與晶圓1819的物理及化學交互作用選擇性地蝕刻出晶圓的特徵部。
假如使用電漿柵而使得有上部子腔室1802及下部子腔室1803兩者,則感應電流作用在存在於上部子腔室1802內的氣體而在上部子腔室1802中產生電子-離子電漿。可選之內部電漿柵1850限制下部子腔室1803中的熱電子數量。在一些實施例中,將設備設計及操作成使存在於下部子腔室1803內的電漿為離子-離子電漿。
儘管離子-離子電漿會具有較大的負離子對正離子之比例,不過上部之電子-離子電漿及下部之離子-離子電漿兩者皆可包含正及負離子。揮發性蝕刻副產物可透過閘口1822而從下部子腔室1803移除。
在此揭露之夾頭1817可在範圍介於大約30℃及大約250℃之間的升高溫度下操作。該溫度將取決於蝕刻程序操作及特定配方。在一些實施例中,腔室1801亦可在介於大約1mTorr及大約95mTorr之間範圍內的壓力下操作。在若干實施例中,壓力可高於以上所述。
當腔室1801安裝在潔淨室或製造設施內時,腔室1801可耦接至設備(未顯示)。設備包含提供處理氣體、真空、溫度控制、及環境微粒控制的管路。當腔室1801安裝在目標製造設施中時,這些設備耦接至腔室1801。此外,腔室1801可耦接至使用典型的自動化而容許機器人將半導體晶圓傳送進出腔室1801的傳送腔室。
在一些實施例中,系統控制器1830(其可包含一或更多實體或邏輯控制器)控制蝕刻腔室操作之部份或全部。系統控制器1830可包含一或更多記憶體裝置及一或更多處理器。處理器可包含中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板、及其它類似的構 件。執行適當控制操作用的指令係於處理器執行。這些指令可儲存在與控制器1830相關聯的記憶體裝置或是可透過網路提供。在若干實施例中,系統控制器1830執行系統控制軟體。
系統控制軟體可包含指令以供控制以下腔室操作條件之任一或更多者的施加時間點及/或強度:氣體混合物及/或組成、腔室壓力、腔室溫度、晶圓/晶圓支座溫度、施加到晶圓的偏壓、施加到線圈或其它電漿產生構件的頻率及功率、晶圓位置、晶圓移動速度、及由工具所執行之特定程序的其它參數。系統控制軟體可以任何合適方式而配置。舉例來說,可撰寫不同的處理工具構件副程式或控制物件以控制實施不同處理工具程序所必須之處理工具構件的操作。系統控制軟體可以任何合適的電腦可讀程式語言加以編碼。
在一些實施例中,系統控制軟體包含用於控制上述不同參數的輸入/輸出控制(IOC)排序指令。舉例來說,半導體製造程序的每一階段可包含由系統控制器1830執行的一或更多指令。舉例來說,用於設定蝕刻階段之程序條件的指令可包含在相應的蝕刻配方階段。在一些實施例中,配方階段可依序安排,使得圖案化程序(像是二維程序)中的步驟可以針對該程序階段之特定順序而執行。
可在一些實施例中運用其它電腦軟體及/或程式。供此目的之程式或程式片段的範例包含晶圓定位程式、處理氣體組成控制程式、壓力控制程式、加熱器控制程式、及RF電源控制程式。
在一些情況中,控制器1830控制氣體濃度、晶圓移動、及/或供應到線圈1833及/或靜電夾頭1817之功率。控制器1830可藉由例如開啟或關閉相關的閥以產生提供適當濃度之所需(複數)反應物的一或更多入口氣流而控制氣體濃度。晶圓移動可藉由例如指示晶圓定位系統如期望般移動而控制。可控制供應到線圈1833及/或夾頭1817的功率以提供特定RF功率位準。假如使用柵50,則可藉由系統控制器1830調整RF功率以在上部子腔室1802中產生電子-離子電漿且在下部子腔室1803中產生離子-離子電漿。再者,控制器1830可配置成在電子-離子電漿未於下部子腔室1803內形成的條件下向靜電夾頭1817供應功率。
系統控制器1830可基於感測器輸出(例如當功率、電位、壓力…等達到某閾值時)、操作的時間點(例如在程序中的某些時候開啟閥)、或基於所接收之來自使用者的指令而控制這些或其它實施態樣。
圖19繪示帶有與真空傳送模組(VTM)1938接合之不同模組的半導體處理叢集構造。可將用以在複數儲存設備及處理模組之間「傳送」晶圓的傳送模組之安排稱為「叢集工具構造」系統。氣閘模組1930(亦做為真空預備室或傳送模組而為人所知)係連同可將其個別最佳化以執行不同製造程序之四處理模組1920a-1920d而顯示於VTM 1938中。舉例來說,可執行處理模組1920a-1920d以進行基板蝕刻、沉積、離子佈植、晶圓清理、濺鍍、及/或其它半導體程序。基板蝕刻處理模組之一或更多者(1920a-1920d之任一)可如在此所揭露而執行,亦即用於沉積間隙填充AHM層、沉積保形膜、蝕刻一維及二維的圖案、晶圓平坦化、及根據所揭露實施例之其它合適的功能。氣閘模組1930及處理模組1920可稱做「站」。每站具備將該站接合至VTM 1938的面1936。在每一面之內部,感測器1-18係用以在晶圓於個別站點之間移動時偵測晶圓1926的通過。
機器人1922在站之間傳送晶圓1926。在一實施例中,機器人1922具備一手臂,而在另一實施例中,機器人1922具備二手臂,其中每一手臂具有末端受動器1924以拾起像是晶圓1926之傳送用的晶圓。大氣傳送模組(ATM)1940內的前端機器人1932係用以將晶圓1926從負載埠模組(LPM)1942中的卡匣或前開式晶圓傳送盒(FOUP)1934傳送到氣閘模組1930。處理模組1920內之模組中心1928為放置晶圓1926的位置。ATM 1940中的對準器1944係用以將晶圓對準。
在示範性的處理方法中,晶圓置於LPM 1942中的FOUP 1934之一者內。前端機器人1932將晶圓從FOUP 1934傳送到對準器1944,對準器1944容許晶圓1926在其受到蝕刻或處理之前被正確地置中。在對準之後,晶圓1926係藉由前端機器人1932移入氣閘膜組1930。由於氣閘模組具備將ATM及VTM之間的環境相匹配的能力,所以晶圓1926能夠在不會受損的情況下於二壓力環境之間移動。晶圓1926係藉由機器人1922從氣閘模組1930移動經過VTM 1938並進入處理模組1920a-1920d之一者內。為了 達成此晶圓的移動,機器人1922使用其手臂之每一者上的末端受動器1924。一旦晶圓1926經過處理,其係藉由機器人1922從處理模組1920a-1920d移動到氣閘模組1930。晶圓1926可藉由前端機器人1932從此處移動至複數FOUP 1934之一者或至對準器1944。
應注意控制晶圓移動的電腦可對於叢集構造位於本地、或可在生產樓層中對於該叢集構造定位於外部、或在遠端位置並經由網路連接到該叢集構造。
結論
儘管前述實施例已為了清楚理解的目的而稍微詳加描述,惟將為顯而易見的是可在隨附請求項之範圍內進行若干改變及修改。應注意有許多執行本複數實施例之程序、系統及設備的替代方式。因此,本複數實施例將被考量為說明性而非限制性的,且該等實施例並不受限於在此給定之細節。

Claims (23)

  1. 一種處理半導體基板的方法,該方法包含:將一圖案從一上覆之光阻轉移到一核心可灰化硬遮罩(AHM)層;將一保形膜沉積覆滿該基板上之圖案化的該核心AHM層;將一間隙填充AHM層沉積覆滿該保形膜;利用蝕刻該保形膜及該間隙填充AHM層兩者的一程序將該基板平坦化以移除上覆在該核心AHM層之該保形膜,而未移除沉積在該核心AHM層與該間隙填充AHM層之間的該保形膜;及選擇性地蝕刻該保形膜以形成一遮罩。
  2. 如申請專利範圍第1項之處理半導體基板的方法,其中該基板係藉由以下各者而平坦化:使氧及氦分別以大約20sccm及大約200sccm流動;將基板曝露在一氫氟酸水溶液中;使CF4及Ar分別以大約5sccm及大約100sccm流動;及使CHF3及CF4分別以大約15sccm及大約80sccm流動。
  3. 如申請專利範圍第2項之處理半導體基板的方法,其中使氧及氦流動進行大約30秒,使CF4及Ar流動進行大約10秒,而使CHF3及CF4流動進行大約30秒。
  4. 如申請專利範圍第1項之處理半導體基板的方法,其中該基板係在介於大約10℃及大約50℃之間的溫度及在介於大約2Torr及大約20Torr之間的壓力下平坦化。
  5. 如申請專利範圍第1項之處理半導體基板的方法,其中該基 板係使用電漿蝕刻而平坦化。
  6. 如申請專利範圍第1項之處理半導體基板的方法,其中該間隙填充AHM層係藉由下列者而沉積:(a)使用電漿增強化學氣相沉積使一碳氫化合物流動,直到該圖案中之複數特徵部之間的一間隙開口寬度縮減為止;(b)以實質上垂直於該基板之一主要非等向性軸心非等向性地蝕刻該基板;(c)將(a)及(b)重複X循環,其中X為一正整數;及(d)將該基板灰化以移除表面上之局部化的碳膜堆積。
  7. 如申請專利範圍第6項之處理半導體基板的方法,其中該碳氫化合物為甲烷、乙炔、或丙烯。
  8. 如申請專利範圍第1項之處理半導體基板的方法,其中該圖案更包含帶有介於大約1:1及大約5:1之間的深寬比之複數特徵部。
  9. 如申請專利範圍第1項之處理半導體基板的方法,更包含以該遮罩將一目標層圖案化。
  10. 如申請專利範圍第1項之處理半導體基板的方法,更包含:在將該圖案從該上覆之光阻轉移到該核心AHM層之前,將該圖案藉由脈衝功率從該上覆之光阻同時轉移到一底部之抗反射層及頂蓋層。
  11. 一種處理半導體基板的方法,該方法包含:在一第一維度中將一圖案從一上覆之第一光阻轉移到一核心第一可灰化硬遮罩(AHM)層;將一第一保形膜沉積覆滿該基板上之圖案化的該核心第 一AHM層;將一第二AHM層沉積覆滿該第一保形膜;選擇性地蝕刻該第二AHM層及該核心第一AHM層以顯露出圖案化之該第一保形膜;使用圖案化之該第一保形膜蝕刻一下方之蝕刻停止層;沉積一間隙填充之第三AHM層;沉積一第二光阻並在一第二維度中於該第二光阻上微影定義一第二圖案;將一第二保形膜沉積覆滿圖案化之該第二光阻;選擇性地蝕刻該第二保形膜以顯露出圖案化之該第二光阻;選擇性地蝕刻圖案化之該第二光阻;選擇性地蝕刻該間隙填充之第三AHM層;及選擇性地蝕刻一下方之頂蓋層以形成一二維遮罩。
  12. 如申請專利範圍第11項之處理半導體基板的方法,更包含:在該第二AHM層上沉積一第三光阻並微影定義該第三光阻以形成一阻擋遮罩、及使用該阻擋遮罩選擇性地蝕刻該第二AHM層。
  13. 如申請專利範圍第11項之處理半導體基板的方法,其中沉積該間隙填充之第三AHM層更包含(a)使用電漿增強化學氣相沉積使一碳氫化合物流動,直到該圖案中之複數特徵部之間的一間隙開口寬度縮減為止;(b)以實質上垂直於該基板之一主要非等向性軸心非等向性地蝕刻該基板;(c)將(a)及(b)重複X循環,其中X為一正整數;及(d)將該基板灰化以移除表面上之局部化的碳膜堆積。
  14. 如申請專利範圍第13項之處理半導體基板的方法,其中該碳氫化合物為甲烷、乙炔、或丙烯。
  15. 如申請專利範圍第11項之處理半導體基板的方法,其中該第二圖案更包含帶有介於大約1:1及大約5:1之間的深寬比之複數特徵部。
  16. 如申請專利範圍第11項之處理半導體基板的方法,更包含以該二維遮罩將一目標層圖案化。
  17. 如申請專利範圍第11項之處理半導體基板的方法,其中該第二保形膜係在小於大約80℃的溫度沉積。
  18. 一種半導體處理工具,包含:一或更多處理腔室;進入該一或更多處理腔室之一或更多進氣口及相關之流動控制硬體;一低頻射頻(LFRF)產生器;一高頻射頻(HFRF)產生器;及一控制器,具有至少一處理器及一記憶體,其中該至少一處理器及該記憶體係通訊上彼此連接,該至少一處理器至少在操作上與該流動控制硬體、該LFRF產生器、及該HFRF產生器連接,且該記憶體儲存複數電腦可執行指令,以供控制該至少一處理器以至少控制該流動控制硬體、該HFRF產生器、及該LFRF產生器進行:蝕刻一基板以將一圖案從一上覆之光阻轉移到一核心可灰化硬遮罩(AHM)層;將一保形膜沉積覆滿該基板上之圖案化的該核 心AHM層;將一間隙填充AHM層沉積覆滿該保形膜;使用蝕刻該保形膜及該間隙填充AHM層兩者之一平坦化程序將該基板平坦化以移除上覆在該核心AHM層之該保形膜;及選擇性地蝕刻該保形膜以形成一遮罩。
  19. 如申請專利範圍第18項之半導體處理工具,其中該等電腦可執行指令更包含複數指令,以供在該平坦化程序中使氧及氦分別以大約20sccm及大約200sccm流動。
  20. 如申請專利範圍第18項之半導體處理工具,其中用於沉積該間隙AHM層的該等電腦可執行指令更包含用於以下各者之複數指令:(a)使用電漿增強化學氣相沉積使一碳氫化合物流動,直到該圖案中之複數特徵部之間的一間隙開口寬度縮減為止;(b)以實質上垂直於該基板之一主要非等向性軸心非等向性地蝕刻該基板、(c)將(a)及(b)重複X循環,其中X為一正整數、及(d)將該基板灰化以移除表面上之局部化的碳膜堆積。
  21. 一種半導體處理工具,包含:一或更多處理腔室;進入該等處理腔室之一或更多進氣口及相關之流動控制硬體;一低頻射頻(LFRF)產生器;一高頻射頻(HFRF)產生器;及一控制器,具有至少一處理器及一記憶體,其中該至少一處理器及該記憶體係通訊上彼此連接;該至少一處理器至少在操作上與該流動控制硬體、該 LFRF產生器、及該HFRF產生器連接;且該記憶體儲存複數電腦可執行指令,以供控制該至少一處理器以至少控制該流動控制硬體、該HFRF產生器、及該LFRF產生器來:在一第一維度中蝕刻一基板以將一圖案從一第一上覆之光阻轉移到一核心第一可灰化硬遮罩(AHM)層;將一第一保形膜材料在該基板上流動以使一第一保形膜覆滿圖案化之該核心第一AHM層而形成;使一第一碳氫化合物流動以將一間隙填充之第二AHM層沉積覆滿該第一保形膜;蝕刻該第二AHM層及該第一AHM層以顯露出圖案化之該第一保形膜;蝕刻一下方之蝕刻停止層;使一第二碳氫化合物流動以將一第三AHM層沉積覆滿該蝕刻停止層;在該第三AHM層上沉積一第二光阻並在一第二維度中微影定義該第二光阻;使一第二保形膜材料流動以在該圖案化之第二光阻上形成一第二保形膜;蝕刻該第二保形膜以顯露出圖案化之該第二光阻;選擇性地蝕刻該第三AHM層;及蝕刻一下方之頂蓋層以形成一二維遮罩。
  22. 如申請專利範圍第21項之半導體處理工具,其中該等電腦可執行指令更包含複數指令,以供控制該至少一處理器以至少控制該流動控制硬體、該HFRF產生器、及該LFRF產生器進行:在該第二AHM層上沉積一第三光阻並微影定義該第三光阻以形成一阻擋遮罩且使用該 阻擋遮罩蝕刻該第二AHM層。
  23. 如申請專利範圍第21項之半導體處理工具,其中用於使該第二碳氫化合物流動以沉積該第三AHM層的該等電腦可執行指令包含用於以下各者的複數指令:(a)使用電漿增強化學氣相沉積使該第二碳氫化合物流動,直到該圖案中之複數特徵部之間的一間隙開口寬度縮減為止、(b)以實質上垂直於該基板之一主要非等向性軸心非等向性地蝕刻該基板、(c)將(a)及(b)重複X循環,其中X為一正整數、及(d)將該基板灰化以移除表面上之局部化的碳膜堆積。
TW102146185A 2012-12-14 2013-12-13 用於多重圖案化之利用可灰化硬遮罩間隙塡充的影像反轉 TWI619144B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261737688P 2012-12-14 2012-12-14
US61/737,688 2012-12-14
US14/101,901 2013-12-10
US14/101,901 US9362133B2 (en) 2012-12-14 2013-12-10 Method for forming a mask by etching conformal film on patterned ashable hardmask

Publications (2)

Publication Number Publication Date
TW201503228A TW201503228A (zh) 2015-01-16
TWI619144B true TWI619144B (zh) 2018-03-21

Family

ID=50931411

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102146185A TWI619144B (zh) 2012-12-14 2013-12-13 用於多重圖案化之利用可灰化硬遮罩間隙塡充的影像反轉

Country Status (3)

Country Link
US (2) US9362133B2 (zh)
KR (3) KR102247537B1 (zh)
TW (1) TWI619144B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817631B (zh) * 2022-05-31 2023-10-01 南亞科技股份有限公司 主動區域的製備方法

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9123772B2 (en) * 2013-10-02 2015-09-01 GlobalFoundries, Inc. FinFET fabrication method
US9698015B2 (en) 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US8916475B1 (en) * 2013-11-01 2014-12-23 United Microelectronics Corp. Patterning method
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US9548201B2 (en) 2014-06-20 2017-01-17 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
CN105304571B (zh) * 2014-06-27 2018-02-13 旺宏电子股份有限公司 记忆元件的制造方法
US9070753B1 (en) * 2014-07-09 2015-06-30 Macronix International Co., Ltd. Method for fabricating memory device
WO2016022518A1 (en) 2014-08-08 2016-02-11 Applied Materials, Inc. Multi materials and selective removal enabled reverse tone process
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9530701B2 (en) * 2014-12-18 2016-12-27 International Business Machines Corporation Method of forming semiconductor fins on SOI substrate
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
EP3101682A1 (en) * 2015-06-03 2016-12-07 IMEC vzw Method for providing a patterned target layer in a semiconductor structure
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US10056264B2 (en) 2015-06-05 2018-08-21 Lam Research Corporation Atomic layer etching of GaN and other III-V materials
KR102505242B1 (ko) 2015-07-21 2023-03-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9818621B2 (en) 2016-02-22 2017-11-14 Applied Materials, Inc. Cyclic oxide spacer etch process
US9779943B2 (en) 2016-02-25 2017-10-03 Globalfoundries Inc. Compensating for lithographic limitations in fabricating semiconductor interconnect structures
US9691626B1 (en) * 2016-03-22 2017-06-27 Globalfoundries Inc. Method of forming a pattern for interconnection lines in an integrated circuit wherein the pattern includes gamma and beta block mask portions
US9818623B2 (en) * 2016-03-22 2017-11-14 Globalfoundries Inc. Method of forming a pattern for interconnection lines and associated continuity blocks in an integrated circuit
US9679809B1 (en) * 2016-03-22 2017-06-13 Globalfoundries Inc. Method of forming self aligned continuity blocks for mandrel and non-mandrel interconnect lines
US9691775B1 (en) 2016-04-28 2017-06-27 Globalfoundries Inc. Combined SADP fins for semiconductor devices and methods of making the same
US10366890B2 (en) * 2016-05-23 2019-07-30 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
US10453701B2 (en) * 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9916986B2 (en) * 2016-06-27 2018-03-13 International Business Machines Corporation Single or mutli block mask management for spacer height and defect reduction for BEOL
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9818640B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US9818641B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9786545B1 (en) * 2016-09-21 2017-10-10 Globalfoundries Inc. Method of forming ANA regions in an integrated circuit
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US9852986B1 (en) 2016-11-28 2017-12-26 Globalfoundries Inc. Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
US9859120B1 (en) 2016-12-13 2018-01-02 Globalfoundries Inc. Method of making self-aligned continuity cuts in mandrel and non-mandrel metal lines
US9887127B1 (en) 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US9812351B1 (en) 2016-12-15 2017-11-07 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned continuity cuts
US10043703B2 (en) 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths
US10002786B1 (en) 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
CN108511338B (zh) * 2017-02-27 2020-11-10 Imec 非营利协会 一种在介电层中限定用于导电路径的图案的方法
KR102579245B1 (ko) * 2017-04-07 2023-09-14 어플라이드 머티어리얼스, 인코포레이티드 비정질 실리콘 갭충전을 개선하기 위한 표면 개질
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
CN113936997A (zh) 2017-06-08 2022-01-14 应用材料公司 用于硬掩模及其他图案化应用的高密度低温碳膜
US10361112B2 (en) * 2017-06-29 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill
KR102372892B1 (ko) 2017-08-10 2022-03-10 삼성전자주식회사 집적회로 소자의 제조 방법
CN107564804A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种自对准双图案化方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
TW201921498A (zh) * 2017-09-27 2019-06-01 美商微材料有限責任公司 選擇性氧化鋁蝕刻的使用
US9953834B1 (en) 2017-10-03 2018-04-24 Globalfoundries Inc. Method of making self-aligned continuity cuts in mandrel and non-mandrel metal lines
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10157773B1 (en) 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10566207B2 (en) 2017-12-27 2020-02-18 Samsung Electronics Co., Ltd. Semiconductor manufacturing methods for patterning line patterns to have reduced length variation
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
WO2019199681A1 (en) * 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
US10510865B2 (en) * 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer and anneal for gapfill improvement
WO2019212592A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
US10692727B2 (en) * 2018-07-24 2020-06-23 Micron Technology, Inc. Integrated circuit, construction of integrated circuitry, and method of forming an array
US10910381B2 (en) * 2018-08-01 2021-02-02 Applied Materials, Inc. Multicolor approach to DRAM STI active cut patterning
US10727058B2 (en) 2018-08-20 2020-07-28 Applied Materials, Inc. Methods for forming and etching structures for patterning processes
WO2020069206A1 (en) 2018-09-28 2020-04-02 Lam Research Corporation Vacuum pump protection against deposition byproduct buildup
US20200111669A1 (en) * 2018-10-04 2020-04-09 Asm Ip Holding B.V. Method for depositing oxide film by peald using nitrogen
US10818508B2 (en) * 2018-10-17 2020-10-27 Nanya Technology Corporation Semiconductor structure and method for preparing the same
US11842897B2 (en) 2018-10-26 2023-12-12 Applied Materials, Inc. High density carbon films for patterning applications
US10867842B2 (en) 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
KR20210072826A (ko) 2018-11-05 2021-06-17 램 리써치 코포레이션 에칭 챔버의 방향성 증착
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US11264249B2 (en) * 2018-12-18 2022-03-01 Mattson Technology, Inc. Carbon containing hardmask removal process using sulfur containing process gas
CN114072898A (zh) 2019-05-24 2022-02-18 应用材料公司 基板处理腔室
US11145509B2 (en) * 2019-05-24 2021-10-12 Applied Materials, Inc. Method for forming and patterning a layer and/or substrate
US11270905B2 (en) 2019-07-01 2022-03-08 Applied Materials, Inc. Modulating film properties by optimizing plasma coupling materials
US11195718B2 (en) 2019-07-03 2021-12-07 Beijing E-town Semiconductor Technology Co., Ltd. Spacer open process by dual plasma
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US11437230B2 (en) 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
US11495436B2 (en) * 2020-04-30 2022-11-08 Tokyo Electron Limited Systems and methods to control critical dimension (CD) shrink ratio through radio frequency (RF) pulsing
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
CN113889405A (zh) * 2020-07-02 2022-01-04 长鑫存储技术有限公司 半导体结构的处理方法及形成方法
JP7411818B2 (ja) 2020-07-02 2024-01-11 チャンシン メモリー テクノロジーズ インコーポレイテッド 半導体構造の処理方法及び形成方法
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
FR3113769B1 (fr) * 2020-09-03 2023-03-24 Commissariat Energie Atomique Procede de gravure d’une couche de materiau iii-n
JP2023544303A (ja) * 2020-09-29 2023-10-23 ラム リサーチ コーポレーション 純化学的な手段による非晶質炭素ハードマスク膜の堆積速度向上
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
CN113594031A (zh) * 2021-07-29 2021-11-02 上海华力微电子有限公司 半导体器件的制备方法
TW202410138A (zh) * 2022-05-18 2024-03-01 美商梅柯諾斯公司 具有高縱橫比微針之多層半導體裝置之製造

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100144150A1 (en) * 2008-12-04 2010-06-10 Micron Technology, Inc. Methods of Fabricating Substrates

Family Cites Families (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
JPS6018914U (ja) 1983-07-15 1985-02-08 近畿印刷株式会社 折り込み紙箱
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
JPH062682B2 (ja) 1985-07-18 1994-01-12 日合アセチレン株式会社 アセチレンの精製法およびそれに用いる装置
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
EP0386248B1 (en) 1988-07-04 1992-12-30 Japan Oxygen Co. Ltd. Condenser/evaporator
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
WO1992017900A1 (en) 1991-04-03 1992-10-15 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
DE69408405T2 (de) 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
EP0680072B1 (en) 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
JP3402972B2 (ja) 1996-11-14 2003-05-06 東京エレクトロン株式会社 半導体装置の製造方法
DE19826259A1 (de) 1997-06-16 1998-12-17 Bosch Gmbh Robert Verfahren und Einrichtung zum Vakuumbeschichten eines Substrates
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TWI246633B (en) 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US5981398A (en) * 1998-04-10 1999-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask method for forming chlorine containing plasma etched layer
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
AU5449900A (en) 1999-06-03 2000-12-28 Penn State Research Foundation, The Deposited thin film void-column network materials
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
EP1514679A4 (en) 2002-05-09 2008-02-20 Riken MATTE THIN FILM RIAU AND ASSOCIATE PR PARATION PROC D
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
WO2005048367A1 (en) 2003-11-13 2005-05-26 Philips Intellectual Property & Standards Gmbh Electronic device comprising a protective barrier layer stack
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US8110242B2 (en) * 2006-03-24 2012-02-07 Zimmer, Inc. Methods of preparing hydrogel coatings
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20080124912A1 (en) 2006-08-01 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor methods
KR100880310B1 (ko) 2006-09-06 2009-01-28 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
CN101017834A (zh) 2007-03-02 2007-08-15 上海集成电路研发中心有限公司 一种soi集成电路结构及其制作方法
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8754530B2 (en) 2008-08-18 2014-06-17 International Business Machines Corporation Self-aligned borderless contacts for high density electronic and memory device integration
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
JP2011014872A (ja) * 2009-06-04 2011-01-20 Tokyo Electron Ltd アモルファスカーボン膜の形成方法および形成装置
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
CN102939641A (zh) 2010-04-30 2013-02-20 应用材料公司 改良堆迭缺陷率的非晶碳沉积方法
CN102468434A (zh) 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
JP5638413B2 (ja) * 2011-02-08 2014-12-10 東京エレクトロン株式会社 マスクパターンの形成方法
US8586478B2 (en) * 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
WO2013073216A1 (ja) 2011-11-14 2013-05-23 住友電気工業株式会社 炭化珪素基板、半導体装置およびこれらの製造方法
US8629040B2 (en) * 2011-11-16 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for epitaxially growing active regions between STI regions
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9269747B2 (en) * 2012-08-23 2016-02-23 Micron Technology, Inc. Self-aligned interconnection for integrated circuits
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100144150A1 (en) * 2008-12-04 2010-06-10 Micron Technology, Inc. Methods of Fabricating Substrates

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817631B (zh) * 2022-05-31 2023-10-01 南亞科技股份有限公司 主動區域的製備方法
US11830744B1 (en) 2022-05-31 2023-11-28 Nanya Technology Corporation Method of preparing active areas

Also Published As

Publication number Publication date
US10192759B2 (en) 2019-01-29
KR102335247B1 (ko) 2021-12-03
KR102247537B1 (ko) 2021-05-03
US20140170853A1 (en) 2014-06-19
US20160254171A1 (en) 2016-09-01
KR20210152427A (ko) 2021-12-15
KR102455124B1 (ko) 2022-10-17
KR20210049760A (ko) 2021-05-06
US9362133B2 (en) 2016-06-07
TW201503228A (zh) 2015-01-16
KR20140077865A (ko) 2014-06-24

Similar Documents

Publication Publication Date Title
TWI619144B (zh) 用於多重圖案化之利用可灰化硬遮罩間隙塡充的影像反轉
JP7483839B2 (ja) エアギャップの形成方法
KR102580008B1 (ko) 반도체 디바이스 제작에서의 주석 옥사이드 막들
JP6964964B2 (ja) チェンバ内で基板を処理する方法およびその装置
TWI675797B (zh) 用於進階圖案化之軟著陸奈米層
US11742212B2 (en) Directional deposition in etch chamber
KR20200106087A (ko) 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
TW201833992A (zh) 以原子層沉積間隙填充間隔件遮罩進行的自對準多重圖案化製程流程
TW201921429A (zh) 在水平表面上氮化矽之選擇性沉積
TW201622008A (zh) 用於自我對準接觸方案的金屬前犧牲介電質
KR20220149611A (ko) 몰리브덴의 원자 층 에칭
KR20220025045A (ko) 교번하는 에칭 및 패시베이션 프로세스
US20220181141A1 (en) Etch stop layer
TWI838003B (zh) 半導體裝置製造中之氧化錫膜