KR20070107017A - 트리밍과 호환되는 라인 에지 조도 감소 방법 - Google Patents

트리밍과 호환되는 라인 에지 조도 감소 방법 Download PDF

Info

Publication number
KR20070107017A
KR20070107017A KR1020077017607A KR20077017607A KR20070107017A KR 20070107017 A KR20070107017 A KR 20070107017A KR 1020077017607 A KR1020077017607 A KR 1020077017607A KR 20077017607 A KR20077017607 A KR 20077017607A KR 20070107017 A KR20070107017 A KR 20070107017A
Authority
KR
South Korea
Prior art keywords
photoresist
lines
trimming
line edge
edge roughness
Prior art date
Application number
KR1020077017607A
Other languages
English (en)
Inventor
마이클 씨. 스메이링
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070107017A publication Critical patent/KR20070107017A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition

Abstract

라인 에지 조도를 감소시키는 방법으로서, 하부층을 에칭하기 위하여 라인들을 형성하도록 포토레지스트를 패터닝하는 단계, 라인들 사이에 포스트 현상 재료를 증착하는 단계, 라인 에지 조도를 감소시키기 위하여 포스트 현상 재료를 경화시키고 제거하는 단계, 하부층에서 라인들을 트리밍하는 단계 및 그 후 하부층을 에칭하는 단계를 포함한다.

Description

트리밍과 호환되는 라인 에지 조도 감소 방법{LINE EDGE ROUGHNESS REDUCTION COMPATIBLE WITH TRIMMING}
본 발명은 일반적으로 반도체 기판상에 디바이스를 제작하기 위한 방법에 관한 것이다. 보다 상세하게는, 본 발명은 상보적 전계 효과 트랜지스터의 게이트 구조물을 제조하는 방법에 관한 것이다.
초대규모 집적(ULSI: Ultra-large-scale integrated) 회로는 일반적으로 반도체 기판상에 형성되고 전자 디바이스들내에서 다양한 기능들을 수행하기 위하여 협력하는 백만개 이상의 트랜지스터들을 포함한다. 그러한 트랜지스터들은 상보적 금속-산화물-반도체(CMOS) 전계 효과 트랜지스터들을 포함할 수 있다.
CMOS 트랜지스터는 반도체 기판에 형성된 드레인 영역과 소스 영역 사이에 배치되는 게이트 구조물을 포함한다. 상기 게이트 구조물은 일반적으로 게이트 유전체 재료상에 형성된 게이트 전극을 포함한다. 게이트 전극은 트랜지스터들을 온 또는 오프시키도록, 드레인과 소스 영역 사이에 형성되는 채널 영역에서 게이트 유전체 아래에 전하 캐리어들의 흐름을 제어한다. 채널과 드레인 및 소스 영역은 본 기술분야에서 총괄적으로 "트랜지스터 접합부"로 참조된다. 트랜지스터 접합부의 치수를 감소시키고, 그러한 트랜지스터들의 동작 속도에 있어서의 증가를 용이하게 하기 위하여 게이트 전극 폭을 감소시키기 위한 일정한 트렌드가 존재한다.
CMOS 트랜지스터 제작 공정에서, 리소그래피 방식으로 패터닝된 마스크는 게이트 전극을 형성하기 위한 에칭 및 증착 공정 동안에 사용된다. 그러나, 트랜지스터 접합부의 치수가 감소함에 따라(예를 들어, 약 100nm 미만의 치수), 종래의 리소그래픽 기술을 사용하여 게이트 전극 폭을 정확하게 형성하는 것은 어렵다.
따라서, 본 기술 분야에서는 감소된 치수를 갖는 전계 효과 트랜지스터의 게이트 구조물을 제작하는 방법이 요구되고 있다.
본 발명은 일반적으로 하부층을 에칭하기 위하여 라인들을 형성하도록 포토레지스트를 패터닝하는 단계, 상기 라인들 사이에 포스트(post) 현상 재료를 증착하는 단계, 라인 에지 조도(roughness)를 감소시키기 위하여 상기 포스트 현상 재료를 경화시키고 제거하는 단계, 하부층에서 라인들을 트리밍하는 단계 및 상기 하부층을 에칭하는 단계를 포함하는 라인 에지 조도를 감소시키기 위한 장치 및 방법을 제공한다.
본 발명의 상기 기술된 특징들이 상세히 이해될 수 있도록, 상기 간략히 기술된 본 발명의 실시예들을 참조로 하여 보다 상세히 설명되며, 실시예들 중 일부는 첨부 도면들에 개시된다. 그러나, 첨부 도면들은 본 발명의 일반적인 실시예들만을 도시할 뿐이고, 따라서, 그것이 본 발명의 범위를 제한하는 것으로 여겨져서는 안 될 것이며, 본 발명은 다른 동일한 효과를 지니는 실시예들을 수용할 수 있다.
도 1은 본 발명에 따른 전계 효과 트랜지스터의 게이트 구조물을 제작하는 방법의 흐름도를 도시한다.
도 2a 내지 도 2j는 도 1의 방법에 따라 형성되는 게이트 구조물을 갖는 기판의 개략적인 횡단면도 및 상부도를 도시한다.
이해를 돕기 위해, 도면들에 공통적인 동일한 구성들을 나타내기 위하여 가능한 경우 동일한 참조 번호가 사용되었다.
그러나, 첨부 도면들은 본 발명의 예시적인 실시예들을 도시할 뿐이며, 따라서 본 발명의 범위를 제한하는 것으로 여겨져서는 안 되고, 본 발명은 동일한 효과를 내는 다른 실시예들을 수용할 수 있다.
본 발명의 실시예들은 감소된 치수를 갖는 기판상에 피쳐들을 제작하기 위한 방법을 제공한다. 상기 피쳐들은 기판의 영역들상에 제1 마스크를 형성함으로써 형성된다. 마스크는 기판상에 증착되고, 그 후, 라인 에지 조도를 감소시키기 위하여 수축 레지스트(shrink resist) 및 트리밍의 사용을 포함하는 리소그래픽 기술들을 사용하여 형성된다. 피쳐들은 마스크에 노출된 기판의 에칭 부분들에 의해 기판상에 형성된다.
본 발명은 기판상의 전계 효과 트랜지스터의 게이트 구조물을 제작하기 위한 방법을 참조로 하여 도식적으로 개시된다. 게이트 구조물은 게이트 유전체층상에 형성된 게이트 전극을 포함한다. 게이트 구조물은 트랜지스터 접합부가 기판상에 형성될 복수의 영역 위에 게이트 유전체층상에 게이트 전극층을 증착시킴으로써 제작된다. 마스크와 같은 하부층은 아래에 개시되는 바와 같이, 트랜지스터 접합부가 형성될 인접 영역들 사이에서 게이트 전극층의 영역상에 형성된다. 게이트 구조물은 하부층을 사용하여 게이트 유전체층에 게이트 전극층을 에칭함으로써 완성된다.
컨포멀하게 형성된 마스크의 두께는 트랜지스터의 게이트 전극들의 폭을 결정하는데 사용된다. 마스크 폭은 리소그래피 공정보다는 증착 공정에 따라 좌우되며, 바람직하게는 30nm 미만의 게이트 폭을 제공한다.
도 1은 본 발명에 따른 게이트 전극을 제작하기 위한 공정 시퀀스(100)의 흐름도를 도시한다. 상기 시퀀스(100)는 전계 효과 트랜지스터(예를 들어, CMOS 트랜지스터)의 제작 동안에 게이트 전극 막 적층물상에 형성되는 공정 단계들을 포함한다.
도 2a 내지 도 2j는 도 1의 공정 시퀀스(100)를 사용하여 거기 형성되는 게이트 전극을 도시하는 기판의 개략적인 횡단면도(도 2a-d, 2f-g, 2i-j) 및 상부도(도 2e 및 2h)의 시퀀스를 도시한다. 본 발명을 가장 잘 이해하기 위하여, 독자는 동시에 도 1 및 2a 내지 2j를 참조해야만 한다. 도 2a 내지 2j에서의 관점은 게이트 전극을 형성하기 위하여 사용되는 개별적인 처리 단계들에 관한 것이다. 서브 공정들 및 리소그래피 루틴(예를 들어, 포토레지스트의 노출 및 현상, 웨이퍼 세정 공정들 및 기타 같은 종류의 것들)은 도 1 및 도 2a-2j에 도시되지 않는다. 도 2a-2j의 이미지들은 일정한 비율로 도시되지 않으며, 도식적인 목적으로 간략화된 다.
공정 시퀀스(100)는 웨이퍼(100)상에 게이트 전극 적층물(202)을 형성함으로써(도 2a) 임의의 막 적층물 형성 단계(12)에서 시작된다(도 1).
게이트 전극 적층물(202)은 유전체층(204)상에 형성된 게이트 전극층(206)을 포함한다. 게이트 전극층(206)은 예를 들어, 약 2000 옹스트롬까지의 두께의 도핑된 폴리실리콘(Si)으로 형성된다. 유전체층(204)은 예를 들어, 약 20 내지 60 옹스트롬의 두께의 실리콘 이산화물(SiO2)로 형성된다. 게이트 유전체층(204)은 선택적으로, 예를 들어, 실리콘 이산화물(SiO2), 하프늄 실리콘 이산화물(HfSiO2) 및 알루미늄 산화물(Al2O3)과 같은 재료의 하나 이상의 층으로, 단일 실리콘 이산화물(SiO2) 층의 두께와 동등한 두께로 구성될 수 있다. 그러나, 게이트 전극 적층물(202)은 다른 재료들로 형성된 층들 또는 상이한 두께를 갖는 층들을 포함할 수 있음을 이해해야만 한다.
게이트 전극 적층물(202)을 포함하는 층들은 원자 층 증착(ALD: atomic layer deposition), 물리적 기상 증착(PVD: physical vapor deposition), 화학적 기상 증착(CVD: chemical vapor deposition), 증발 등의 진공 증착 기술을 사용하여 증착될 수 있다. CMOS 전계 효과 트랜지스터들의 제작은 캘리포니아 산타 클라라에 위치한 어플라이드 머티리얼스사로부터 이용가능한 CENTURA® 플랫폼, ENDURA® 플랫폼의 개별적인 처리 모듈들을 사용하여 실행될 수 있다.
선택적 단계(104)에서(도 1), 공정 시퀀스는 선택적 마스크(208)를 증착하는 단계에 의해 계속된다(도 2b). 선택적 마스크(208)는 게이트 전극층(206)상에 연속하여 형성되는 유전적 반사 방사 코팅(DARC)인 것이 바람직하다. 도식적인 일실시예에서, 선택적 마스크(208)는 약 100 내지 약 300 옹스트롬의 두께의 실리콘 옥시니트라이드(SiON), 실리콘 이산화물(SiO2) 또는 다른 재료를 포함할 수 있다. DARC 선택층(208)은 패터닝 단계 동안에 광의 반사를 최소화하도록 기능한다. 피쳐 크기가 감소됨에 따라, 에칭 마스크 패턴 전사 공정에서의 부정확성이 광 반사와 같은 리소그래픽 공정에 고유한 광학 제한으로 인하여 발생할 수 있다. DARC 선택층(208) 증착 기술이 2000년 6월 8일자로 출원된 공동 양수된 미국 특허 제 6,573,030호 및 2001년 7월 13일 출원된 미국 특허 출원 제 09/905,172호에 개시되며, 이 모든 내용은 본 명세서에 참조로 통합된다.
단계(106)는 포토레지스트를 준비하는 단계(도 1)를 포함하며, 포토레지스트를 증착하는 단계(도 2c) 및포토레지스트를 현상하는 단계(도 2d)를 포함한다. 포토레지스트(212)는 원자층 증착(ALD), 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD) 및 기타 같은 종류의 것들과 같은 임의의 종래의 증착 기술을 사용하여 형성될 수 있다. 제작은 캘리포니아 산타 클라라에 위치한 어플라이드 머티리얼스사로부터 이용가능한 CENTURA® 플랫폼, ENDURA® 플랫폼의 개별적인 처리 모듈들 및 다른 기판 처리 시스템들을 사용하여 실행될 수 있다.
단계(106)는 도 2d 및 2e에 의해 도시된다. 포토레지스트는 상기 마스크 아래의 재료층(즉, 하부층)상에 패터닝된 마스크(예를 들어, 포토레지스트 마스크)를 형성한 다음, 상기 패터닝된 마스크를 에칭 마스크로서 사용하여 상기 재료층을 에칭함으로써 패터닝된다.
패터닝된 포토레지스트는 일반적으로 형성될 피쳐의 패턴이 광학적으로 포토레지스트층으로 전사될 때, 리소그래픽 공정을 사용하여 제작된다. 예를 들어, 포토레지스트는 UV 광과 비교되고, 포토레지스트의 노출되지 않은 부분들은 산소 애싱(ashing)에 의해 제거되며, 남아 있는 포토레지스트는 패턴을 유지시킨다.
일반적으로, 패터닝된 포토레지스트는 형성될 피쳐와 동일한 임계 치수들을 갖는 엘리먼트들을 포함한다. 그러나, 리소그래픽 공정의 광학 제한은, 엘리먼트의 CD가 리소그래픽 공정의 광학 해상도보다 작을 때, 포토레지스트층으로 피쳐의 치수적으로 정확한 이미지를 전사하지 못하게 할 수 있다.
단계(106)는 디커플링 플라즈마 소스(DPS) Ⅱ, 또는 캘리포니아 산타클라라의 어플라이드 머티리얼스사로부터 이용가능한 CENTURA® 시스템과 같은 에칭 반응기에서 실행될 수 있다. DPS Ⅱ 모듈은 고농도 플라즈마를 생성하기 위하여 2 MHz 유도 플라즈마 소스를 사용한다. 웨이퍼는 13.56 MHz 바이어스 소스에 의해 바이어싱된다. 플라즈마 소스의 디커플링된 성질은 이온 에너지 및 이온 농도의 독립적인 제어를 허용한다. 단계(106)는 도 2e에 도시된 바와 같은 거친 라인 및 도 2d에 도시된 바와 같은 포토레지스트 마스크(212)의 상부도를 초래한다. 포토레지스트 마스크(212)의 측벽들(261)은 도 2e에 도시된 바와 같은 톱니형(jagged) 에지들을 갖는다.
다음으로, 증착 포스트-현상 처리 단계(108)가 수행된다(도 1). 수축 레지 스트층(214)은 예를 들어, 스핀 코팅에 의해 패터닝된 포토레지스트(212)를 완전히 감싸도록(engulf) 증착된다. 수축 레지스트층의 두께는 포토레지스트 마스크(212)를 완전히 감싸기에 충분히 두껍게, 그러나 경화되기에 충분히 얇도록 적절히 선택된다. 몇몇 실시예들에서, 100nm가 적용될 수 있다. 수축 레지스트층은 폴리(메틸아다만틸트리플로로메타크릴레이트(MAFMA)-토르보르네네헥사플루오로이소프로판올(NBHFA))와 같은 수지 및 트리페닐술포늄 나노플레이트와 같은 포토 산성 발생기를 포함할 수 있다. 상기 컴포넌트들은 Fujifilm Arch Co., Ltd로부터 체계화되고 구입될 수 있다. 대안적으로 Tokyo Ohka Kogyo, Ltd. 및 Hitachi, Ltd.는 산성 및 수용성 수지 및 첨가물을 더 포함하는 SAFIER™을 개발해왔다. 또한, RELACS™는 Clariant 및 Mitsubishi Electronics에 의해 개발되었으며, 그로부터 구매 가능하고, 하이드록실계 및 교차 결합 컴포넌트를 갖는 수성 중합체이다.
패터닝된 포토레지스트의 라인 에지 조도를 감소시키는 단계(110)는 도 2g 및 도 2h에 의해 도시된다. 수축 레지스트층은 약 20 내지 약 90초 동안 100℃로 예열하는 단계에 의해 경화되고, 그 후, 포스트 노광 베이킹(bake) 온도는 약 120 내지 약 150℃, 바람직하게는 약 130 내지 약 140℃로 상승된다. 선택적 최종 수축 공정 온도는 172 내지 180℃ 사이에서 60초 동안 조정된다. 일반적으로, 수축 레지스트층을 경화시키는 단계는 100 내지 180℃ 이상으로 실행될 수 있다. 포토레지스트 마스크(212)의 측벽들(262)은 매끄럽게 되고, 수축 레지스트층이 경화되고 트리밍됨에 따라 똑바르게 된다. 2.38 중량 퍼센트 수성 테트라메틸암모늄 하이드록사이드(TMAH) 용액 또는 물과 같은 현상제는 수축 레지스트층을 경화하기 위 해 선택될 수 있다. 물은 선호되는 현상제이다. 다음으로, 기판은 잔여 수축 레지스트를 제거하기 위해 약 20 내지 약 180초 동안, 바람직하게는 60초 동안 탈이온화수로 헹궈질 수 있다. 톱니형 표면에서의 결과 감소는 도 2h에 의해 도시된다.
포토레지스트 트리밍 단계(112)는 도 2i에 의해 도시된다. 도식적인 일실시예에서, 마스크(212)의 폭은 3 내지 200 sccm의 유속으로 수소 브롬화물(HBr)을, 5 내지 100 sccm의 유속으로 산소를(1:30 내지 40:1 범위의 유속의 HBr:O2에 대응하는), 10 내지 200 sccm의 유속으로 탄소 테트라플루오라이드(CF4) 및 아르곤(Ar)을 포함하는 플라즈마를 사용하여 트리밍된다. 플라즈마는 200 내지 약 600W의 플라즈마 전력 및 15 내지 45W의 바이어스 전력, 0 내지 80℃ 사이의 웨이퍼 받침대 온도(wafer pedestal temperature) 및 약 2 내지 30 mTorr의 챔버 압력을 사용하여 발생된다. 포토레지스트 트리밍 단계(112)는 약 20 내지 약 180초 동안 수행된다.
한 포토레지스트 트리밍 공정은 80sccm의 유속의 HBr, 28sccm의 유속의 O2(즉, 약 2.5:1의 HBr:O2), 20sccm의 유속의 Ar, 500W의 플라즈마 전력, 0W의 바이어스 전력 및 4mTorr의 챔버 압력에서 65 섭씨 온도의 웨이퍼 받침대 온도를 사용하여 실행된다.
DARC 및 게이트 전극층을 에칭하는 단계(116)는 도 2j에 의해 도시된다. 단계(116)에서, 에칭 마스크의 패턴은 마스크층(208) 및 게이트 전극층(206)을 통해 전사된다. 단계(116) 동안 마스크층(208)은 플루오르화탄소 가스(예를 들어, 탄소 테트라플루오라이드(CF4), 황 헥사플루오라이드(SF6), 트리플루오로메탄(CHF3) 및 디플루오로메탄(CH2F2))을 사용하여 에칭된다. 따라서, 게이트 전극층(206)은 수소 브롬화물(HBr), 산소(O2) 및 예를 들어, 아르곤(Ar), 헬륨(He) 및 네온(Ne)과 같은 적어도 하나의 불활성 가스를 포함하는 가스(또는 가스 혼합물)를 포함하는 에칭 공정을 사용하여 에칭된다. "가스" 및 "가스 혼합물"이라는 용어는 교환 가능하게 사용된다. 일실시예에서, 단계(116)는 포토레지스트 마스크(212)를 에칭 마스크로서, 게이트 전극층(206)을 에칭 스탑층으로서 사용된다. 대안적으로, 에칭 반응기의 엔드포인트 감지 시스템은 에칭 공정의 종료를 판단하기 위하여 특정 파장에서 플라즈마 방출을 모니터링할 수 있다. 또한, 단계(116)의 두 개 에칭 공정들 모두는 인시튜(in-situ)로 수행될 수 있다(즉, 동일한 에칭 반응기에서).
도식적인 일실시예에서, 실리콘 옥시니트라이드(SiON)를 포함하는 DARC(208)은 40 내지 200sccm의 유속으로 탄소 테트라플루오라이드(CF4), 40 내지200sccm의 유속으로 아르곤(Ar)(즉, 1:5 내지 5:1의 CF4:Ar 유속), 250W 내지 750W의 플라즈마 전력, 0 내지 300의 바이어스 전력을 사용하여 에칭되며, 2 내지 10 mTorr의 챔버 압력에서 40 내지 85℃ 사이의 온도로 웨이퍼 받침대를 유지시킨다. DARC 층(208) 에칭 공정은 3865 옹스트롬에서 플라즈마 방사 스펙트럼의 크기를 관찰함으로써 종결되고, 상기 방사 스펙트럼은 하부 게이트 전극층(206)이 도달된 후에 현저히 하강할 것이며, 이어서 40 퍼센트 이상의 에칭을 수행한다(즉, 방사 스펙트럼의 크기 에서의 관찰된 변화로 이끄는 시간의 40퍼센트 동안 에칭 공정을 계속한다).
예시적인 하나의 실리콘 옥시질화물(SiON) DARC층(208) 에칭 공정은 120 sccm의 유속으로 탄소 테트라 플루오라이드(CF4)를, 120sccm의 유속으로 아르곤(Ar)을(즉, 약 1:1의 CF4:Ar 유속), 360W의 플라즈마 전력, 60W의 바이어스 전력, 약 650℃의 웨이퍼 받침대 온도 및 4mTorr의 챔버 압력을 사용하여 수행된다.
도식적인 일실시예에서, 게이트 전극층(206)은 20 내지 100 sccm에서 수소 브롬화물(HBr), 5 내지 60sccm의 유속에서 산소(O2)(즉, 1:3 내지 20:1의 HBr:O2 유속), 20 내지 100 sccm의 유속에서 아르곤(Ar), 500W 내지 1500W의 플라즈마 전력, 0 내지 300의 바이어스 전력을 사용하여 에칭되며, 2 내지 10mTorr의 챔버 압력에서 40 내지 85 섭씨 온도 사이의 온도로 웨이퍼 받침대를 유지시킨다. 게이트 전극층(206) 에칭 공정은 4835 옹스트롬에서 플라즈마 방사 스펙트럼의 크기를 관찰하는 단계 및 이어서 잔여물을 제거하기 위한 30% 오버 에칭을 수행하는 단계(즉, 방사 스펙트럼의 크기에서의 관찰된 결과까지 이끄는 시간의 30% 동안 에칭 공정을 계속하는 단계)의해 종결된다.
하나의 예시적인 게이트 전극층(206) 에칭 공정은 60sccm의 유속에서 수소 브롬화물(HBr), 20sccm의 유속에서 산소(O2)(즉, 약 3:1의 HBr:O2 유속), 60sccm의 유속에서 AR, 600W의 플라즈마 전력, 100W의 바이어스 전력, 65 섭씨 온도의 웨이퍼 받침대 온도 및 4mTOrr의 압력을 사용하여 수행된다. 그러한 공정은 적어도 20:1의 에칭 방향성을 갖는다. 본 명세서에서 "에칭 방향성"이라는 용어는 게이트 전극층(206)이 측벽들(261)과 같은 수직 표면들 및 수평 표면들상에서 제거되는 에칭 속도의 비율을 개시하기 위해 사용된다. 단계(110) 동안, 에칭 공정의 높은 에칭 방향성은 측방 에칭으로부터 포토레지스트 마스크(212) 및 게이트 전극층(206)의 측벽들(261)을 보호하고, 그의 치수들을 보존한다.
또한 포토레지스트 및 DARC 단계(116)에서, 포토레지스트(212)는 기판(도 2j)으로부터 제거(또는 스트리핑)된다. 일반적으로, 단계(116)는 산소계 케미스트리(chemistry), 예를 들어, 산소 및 질소를 포함하는 가스 혼합물을 사용하는 종래의 포토레지스트 스트리핑 공정을 사용하여 수행된다. 단계(116) 동안, 에칭 케미스트리 및 공정 파라미터들은 보다 명확하게는 게이트 전극층(206)의 치수 및 위치를 보존하기 위하여 높은 에칭 방향성을 제공하도록 선택된다. 도식적인 일실시예에서, 단계(116)는 DPS Ⅱ 모듈을 사용하여 인시튜로 수행된다.
하나의 예시적인 포토레지스트 스트리핑 공정은 60sccm의 유속에서 수소 브롬화물(HBr), 20sccm의 유속에서 산소(O2)(즉, 약 3:1의 HBr:O2 유속), 60sccm의 유속에서 아르곤(Ar), 600W의 플라즈마 전력, 100W의 바이어스 전력, 65 섭씨 온도의 웨이퍼 받침대 온도, 4mTorr의 챔버 압력을 사용하여 수행된다. 상기 압력은 적어도 1:20의 포토레지스트(마스크(212)) 이상의 DARC 막(208)(예를 들어, 실리콘 옥시질화물(SiON))에 대한 에칭 선택성뿐만 아니라, 적어도 10:1의 에칭 방향성을 갖는다.
하나의 예시적인 공정에서, 바닥 반사 방지 코팅(BARC)은 20sccm HBr, 60sccm CF4 및 400W의 플라즈마 전력 및 60W의 바이어스를 갖는 4mTorr에서의 45sccm 산소로 증착된다. 19W DC에서 증착 시간은 35초이다. 트리밍 단계는 바이어스가 30W이고, 시간이 20초인 것을 제외하고 BARC 증착과 동일한 특성으로 수행된다. 다음의 하드마스크 및 하드마스크 에칭 단계에서, 30sccm SF6, 35sccm CH2F2, 45sccm N2 및 200sccm He를 포함하는 가스들의 혼합물은 450W의 플라즈마 전력 및 W DC에서 60W의 바이어스와 함께 4mTorr에서 챔버로 유입된다.
소프트 랜딩(soft landing)은 6mTorr의 압력에서 300sccm HBr 및 6.5sccm O2로 수행된다. 플라즈마 전력은 400W이고, 바이어스는 11W의 DC를 갖는 30W이다. 오버에칭 단계는 70mTorr에서 300sccm HBr, 20sccm HeO2 및 200sccm He로 수행된다. 오버에칭에 대한 플라즈마 전력은 300W이며, 바이어스는 30W, DC는 19W이다.
본 발명은 공정 파라미터들이 본 발명의 정신을 벗어나지 않고 본 명세서에 개시된 내용들을 이용함으로써 본 기술분야의 당업자들에 의해 수용가능한 특징들을 달성하기 위하여 조정될 수 있는 다른 반도체 웨이퍼 처리 시스템을 사용하여 실행될 수 있다.
전술한 논의는 전계 효과 트랜지스터의 제작을 언급하고 있으나, 집적 회로에 사용되는 다른 디바이스들 및 구조물들의 제작도 본 발명으로 이익을 얻을 수 있다.
전술한 내용이 본 발명의 실시예들에 대한 것이나, 본 발명의 다른, 그리고 추가적인 실시예들이 본 발며의 근본적인 범위를 벗어나지 않고 변경될 수 있으며, 본 발명의 범위는 아래의 청구항들에 의해 판단된다.

Claims (18)

  1. 라인 에지 조도를 감소시키는 방법으로서,
    하부층에 라인들을 형성하기 위해 포토레지스트를 패터닝하는 단계;
    상기 라인들 사이에 포스트(post) 현상 재료를 증착하는 단계;
    라인 에지 조도를 감소시키기 위해 상기 포스트 현상 재료를 경화시키고 제거하는 단계;
    상기 하부층에서 상기 라인들을 트리밍하는 단계; 및
    상기 하부층을 에칭하는 단계
    를 포함하는 라인 에지 조도를 감소시키는 방법.
  2. 제1항에 있어서,
    상기 포스트 현상 재료는 수축 레지스트인 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  3. 제1항에 있어서,
    상기 하부층은 게이트 전극에 인접한 마스크인 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  4. 제2항에 있어서,
    상기 수축 레지스트는 폴리(메틸아다만틸트리플루오로메타아크릴레이트(MAFMA)-토르보르네네헥사플루오로이소프로파놀(NBHFA))를 포함하는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  5. 제2항에 있어서,
    상기 수축 레지스트는 약 120 내지 약 150℃의 온도에서 경화되는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  6. 제5항에 있어서,
    상기 수축 레지스트는 약 20 내지 약 180초 동안 경화되는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  7. 제1항에 있어서,
    상기 하부층에서 상기 라인들을 트리밍하는 단계는 약 0 내지 약 80℃의 온도에서 발생하는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  8. 제7항에 있어서,
    상기 하부층에서 상기 라인들을 트리밍하는 단계는 약 20 내지 약 80초 동안 발생하는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  9. 제1항에 있어서,
    상기 포스트 현상 재료는 약 0 내지 약 65℃의 온도 및 약 2 내지 약 10mTorr의 압력에서 발생하는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  10. 제9항에 있어서,
    상기 포스트 현상 재료를 제거하는 단계는 약 20 내지 약 180초 동안 발생하는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  11. 라인 에지 조도를 감소시키는 방법으로서,
    게이트 전극에 인접하는 하부층을 에칭하기 위하여 라인들을 형성하도록 포토레지스트를 패터닝하는 단계;
    상기 라인들 사이에 수축 레지스트를 증착하는 단계;
    라인 에지 조도를 감소시키기 위하여 상기 수축 레지스트를 경화시키고 제거하는 단계;
    상기 포토레지스트에서 상기 라인들을 트리밍하는 단계; 및
    상기 하부층을 에칭하는 단계
    를 포함하는 라인 에지 조도를 감소시키는 방법.
  12. 제11항에 있어서,
    상기 수축 레지스트는 폴리(메틸아다만틸트리플루오로메타크릴레이트(MAFMA)-토르보르네네헥사플루오로이소프로파놀(NBHFA))를 포함하는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  13. 제11항에 있어서,
    상기 수축 레지스트는 약 120 내지 약 150℃의 온도에서 경화되는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  14. 제13항에 있어서,
    상기 쉬링크 레지스트는 약 20 내지 약 180초 동안 경화되는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  15. 제11항에 있어서,
    상기 포토레지스트에서 상기 라인들을 트리밍하는 단계는 0 내지 80℃의 온도에서 발생하는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  16. 제15항에 있어서,
    상기 포토레지스트에서 상기 라인들을 트리밍하는 단계는 약 20 내지 약 180초 동안 발생하는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  17. 제11항에 있어서,
    상기 수축 레지스트를 제거하는 단계는 0 내지 65℃의 온도 및 약 2 내지 약 10mTorr의 압력에서 발생하는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
  18. 제17항에 있어서,
    상기 수축 레지스트는 약 20 내지 약 180초 동안 발생하는 것을 특징으로 하는 라인 에지 조도를 감소시키는 방법.
KR1020077017607A 2004-12-30 2005-12-22 트리밍과 호환되는 라인 에지 조도 감소 방법 KR20070107017A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US64050404P 2004-12-30 2004-12-30
US60/640,504 2004-12-30

Publications (1)

Publication Number Publication Date
KR20070107017A true KR20070107017A (ko) 2007-11-06

Family

ID=36121342

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077017607A KR20070107017A (ko) 2004-12-30 2005-12-22 트리밍과 호환되는 라인 에지 조도 감소 방법

Country Status (4)

Country Link
US (1) US20060205223A1 (ko)
KR (1) KR20070107017A (ko)
TW (1) TW200627521A (ko)
WO (1) WO2006073871A1 (ko)

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7214626B2 (en) * 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US20070161255A1 (en) * 2006-01-06 2007-07-12 Wilfred Pau Method for etching with hardmask
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100875655B1 (ko) * 2007-01-04 2008-12-26 주식회사 하이닉스반도체 반도체 소자의 제조방법
TWI374478B (en) * 2007-02-13 2012-10-11 Rohm & Haas Elect Mat Electronic device manufacture
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US8709951B2 (en) * 2007-07-19 2014-04-29 Texas Instruments Incorporated Implementing state-of-the-art gate transistor, sidewall profile/angle control by tuning gate etch process recipe parameters
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8298958B2 (en) * 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877641B2 (en) * 2009-12-28 2014-11-04 Spansion Llc Line-edge roughness improvement for small pitches
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
TWI606294B (zh) * 2013-05-03 2017-11-21 應用材料股份有限公司 在膜堆疊上形成硬光罩的方法
US9177824B2 (en) * 2013-06-12 2015-11-03 Applied Materials, Inc. Photoresist treatment method by low bombardment plasma
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10622266B2 (en) 2017-04-04 2020-04-14 Globalfoundries Inc. Methods of identifying space within integrated circuit structure as mandrel space or non-mandrel space
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
JP6400161B1 (ja) * 2017-08-08 2018-10-03 キヤノン株式会社 成膜方法、ドライフィルムの製造方法、および液体吐出ヘッドの製造方法
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113204181B (zh) * 2021-04-25 2023-09-12 华虹半导体(无锡)有限公司 光刻方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5384228A (en) * 1992-04-14 1995-01-24 Tokyo Ohka Kogyo Co., Ltd. Alkali-developable positive-working photosensitive resin composition
US5332647A (en) * 1992-08-26 1994-07-26 Tokyo Ohka Kogyo Co., Ltd. Positive-working quinone diazide composition containing N,N',N"-substituted isocyanurate compound and associated article
JP3024695B2 (ja) * 1994-06-08 2000-03-21 東京応化工業株式会社 ポジ型ホトレジスト組成物
JP2953562B2 (ja) * 1994-07-18 1999-09-27 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いた多層レジスト材料
TW322680B (ko) * 1996-02-29 1997-12-11 Tokyo Ohka Kogyo Co Ltd
JP3473931B2 (ja) * 1996-11-11 2003-12-08 東京応化工業株式会社 リフトオフ用ポジ型感光性組成物およびパターン形成方法
US6042988A (en) * 1996-12-26 2000-03-28 Tokyo Ohka Kogyo Co., Ltd. Chemical-amplification-type negative resist composition
US6060372A (en) * 1997-03-21 2000-05-09 Texas Instruments Incorporated Method for making a semiconductor device with improved sidewall junction capacitance
JP3652071B2 (ja) * 1997-07-25 2005-05-25 東京応化工業株式会社 ノボラック樹脂前駆体およびノボラック樹脂の製造方法
US6174818B1 (en) * 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
DE10051380C2 (de) * 2000-10-17 2002-11-28 Advanced Micro Devices Inc Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
US6440622B1 (en) * 2000-11-30 2002-08-27 Advanced Micro Devices, Inc. Method for controlling and monitoring light source intensity
US6582861B2 (en) * 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US20030008968A1 (en) * 2001-07-05 2003-01-09 Yoshiki Sugeta Method for reducing pattern dimension in photoresist layer
US6753117B2 (en) * 2001-08-02 2004-06-22 Macronix International Co., Ltd. Method for reducing line edge roughness of patterned photoresist
US6858361B2 (en) * 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US6716570B2 (en) * 2002-05-23 2004-04-06 Institute Of Microelectronics Low temperature resist trimming process
JP2004252146A (ja) * 2002-05-27 2004-09-09 Tokyo Ohka Kogyo Co Ltd ネガ型レジスト組成物
US6924191B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7135419B2 (en) * 2002-09-16 2006-11-14 Intel Corporation Line edge roughness reduction
US6784110B2 (en) * 2002-10-01 2004-08-31 Jianping Wen Method of etching shaped features on a substrate
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US6764946B1 (en) * 2003-10-01 2004-07-20 Advanced Micro Devices, Inc. Method of controlling line edge roughness in resist films
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US7262865B2 (en) * 2004-02-26 2007-08-28 Applied Materials, Inc. Method and apparatus for controlling a calibration cycle or a metrology tool

Also Published As

Publication number Publication date
WO2006073871A1 (en) 2006-07-13
US20060205223A1 (en) 2006-09-14
TW200627521A (en) 2006-08-01

Similar Documents

Publication Publication Date Title
KR20070107017A (ko) 트리밍과 호환되는 라인 에지 조도 감소 방법
US7662718B2 (en) Trim process for critical dimension control for integrated circuits
US7390750B1 (en) Method of patterning elements within a semiconductor topography
US6924191B2 (en) Method for fabricating a gate structure of a field effect transistor
US7354847B2 (en) Method of trimming technology
KR100876892B1 (ko) 반도체 소자의 제조방법
TWI296420B (en) Method of forming micro pattern in semiconductor device
KR101004691B1 (ko) 반도체 소자의 미세패턴 형성방법
US7585614B2 (en) Sub-lithographic imaging techniques and processes
JPH09251988A (ja) 中間層の一部を除去する中間層リソグラフィ法
KR100965775B1 (ko) 반도체 소자의 미세패턴 형성방법
KR101164690B1 (ko) 유기 arc를 구비하는 반도체 장치 및 그것을 위한 방법
US6579809B1 (en) In-situ gate etch process for fabrication of a narrow gate transistor structure with a high-k gate dielectric
US8089153B2 (en) Method for eliminating loading effect using a via plug
JPH09237777A (ja) 上部層の一部を除去する中間層リソグラフィ法
US6787455B2 (en) Bi-layer photoresist method for forming high resolution semiconductor features
US7253113B2 (en) Methods for using a silylation technique to reduce cell pitch in semiconductor devices
US20070161255A1 (en) Method for etching with hardmask
KR20160117818A (ko) 반도체 소자의 제조 방법
US7199034B1 (en) Flash memory device and method for fabricating the same
US20030073041A1 (en) Partial photoresist etching
KR20020048616A (ko) 플래시 메모리 장치의 게이트 패턴 형성 방법
KR20080085280A (ko) 반도체 소자의 패턴 형성 방법
JPH09232233A (ja) 上部層を縮小する中間層リソグラフィ法
KR19990065142A (ko) 실리콘을 포함하는 물질층의 버티컬 프로파일 패턴 형성 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid