TWI606294B - 在膜堆疊上形成硬光罩的方法 - Google Patents

在膜堆疊上形成硬光罩的方法 Download PDF

Info

Publication number
TWI606294B
TWI606294B TW103115847A TW103115847A TWI606294B TW I606294 B TWI606294 B TW I606294B TW 103115847 A TW103115847 A TW 103115847A TW 103115847 A TW103115847 A TW 103115847A TW I606294 B TWI606294 B TW I606294B
Authority
TW
Taiwan
Prior art keywords
photoresist layer
layer
hard mask
substrate
sputter material
Prior art date
Application number
TW103115847A
Other languages
English (en)
Other versions
TW201447475A (zh
Inventor
班卻爾克里斯多夫丹尼斯
迪爾丹尼爾李
戴輝雄
曹勇
許廷軍
曾爲民
謝鵬
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201447475A publication Critical patent/TW201447475A/zh
Application granted granted Critical
Publication of TWI606294B publication Critical patent/TWI606294B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0042Controlling partial pressure or flow rate of reactive or inert gases with feedback of measurements
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/351Sputtering by application of a magnetic field, e.g. magnetron sputtering using a magnetic field in close vicinity to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Description

在膜堆疊上形成硬光罩的方法
本文中的實施例大體而言係關於一種形成用於微影多圖案化製造製程的硬光罩之製造方法。
可靠地生產次微米和更小的特徵是半導體元件的極大型積體電路(VLSI)和超大型積體電路(ULSI)的關鍵要求之一。然而,隨著電路技術的不斷小型化,尺寸的大小和電路特徵(例如內連線)的間距對於製程的能力已有額外的需求。位處此技術心臟地帶的多層內連線要求精確地成像和放置高深寬比的特徵,例如通孔和其它的內連線。可靠地形成這些內連線對於進一步增加元件和內連線的密度是關鍵的。此外,形成次微米大小的特徵和內連線並減少中間材料(例如抗蝕和硬光罩材料)的浪費是需要的。
隨著下一代元件的電路密度增加,諸如通孔、溝槽、觸點、閘極等內連線和其它特徵以及上述特徵之間的介電材料之寬度或間距正減少到45nm和32nm的尺寸。由於元件的縮放擴展到進一步低於微影掃描器的解析極限,故採用了多圖案化來滿足當今積體元件的特徵密度要求。多圖案化是 執行若干阻劑塗佈、微影圖案化及蝕刻操作以在多個步驟中最終圖案化薄膜層的製程。當組合時,重疊的圖案化操作在下方的硬光罩層中形成特徵;當被完全圖案化時,該硬光罩層可被用來圖案化下層,或作為佈植或擴散遮罩。
在下方硬光罩層的簡單、非多圖案化過程中,目前 用於曝光的「紫外光」波長將會反射離開阻劑的未圖案化界面和傳統的硬光罩層,而且該「紫外光」波長還可能會反射離開下方先前形成的特徵,結果將影響阻劑中的曝光和顯影特徵之側壁和尺寸的精準度。為了進行校正,可以在微影遮罩中採用光學近場校正(OPC),從而在阻劑曝光波長到達阻劑的位置產生故意的失真,結果使實際形成的顯影特徵滿足了所需的特徵尺寸和輪廓。然而,由於更小的幾何尺寸及提供的曝光紫外線電磁能量的反射,OPC無法在沒有另外的處理之下消除失真的效果。
致能多圖案化的一個因素一直是使用不透光的膜來 阻擋曝光波長穿透先前遮蔽的硬光罩層,該硬光罩層有時被稱為記憶層。記憶層的功能是作為將圖案蝕刻到層中的硬光罩,在該層下方可能是例如介電材料或在該層下方可能是例如用於其它目的的遮罩。為了多圖案化記憶層,在每個圖案化步驟中使用了具有最上面阻層的三層方案。該三層具有足夠的蔽光度來防止微影阻劑的曝光波長到達記憶體層的表面,並從而防止了曝光的電磁能量反射離開先前形成的硬光罩特徵而回到阻劑中,此舉將導致其中的區域非意圖地曝光。在多圖案化方案的每個圖案化步驟之後,必須使用濕式 及/或基於氣體的化學品來剝除該三層,並且必須濕式清洗和乾燥晶圓和記憶層,而且在多圖案化的下一個圖案之前施加的新三層可以被形成在記憶層中。
雖然多圖案化在解析度、焦點深度及微影缺陷靈敏度等方面的優點是可以理解的,但對於控制製程預算及增加和保持產量仍有另外的需求。
因此,需要一種用於以微影方式在基板上形成多圖案化硬光罩的改良方法。
本文中的實施例提供進行記憶或硬光罩層之多圖案化的設備和方法,且毋需重複灰化和沉積光學上不透明的材料或三層堆疊,而且其中只需剝除阻劑,並在清洗基板之後再次施加阻劑,以在硬光罩上進行下一個圖案化步驟。在一個態樣中,此舉係藉由施加作為硬光罩層的薄膜來完成,該薄膜被光調諧成在微影曝光步驟的波長下匹配(或非常密切地匹配)阻劑的光學特性,藉以提供在阻劑-硬光罩層界面不會產生反射的硬光罩層。在一個實施例中,該記憶層為PVD沉積的氧化矽或富矽氧化物,或PVD SiN或富矽SiN,或SiC或富矽SiC,或前述之組合,包括化合物中含有控制的氫摻雜的變化,以上稱為SiOxNyCz:Hw,其中w、x、y及z可以在從0%到100%的濃度中相對於彼此變化。該記憶層(例如SiOxNyCz:Hw層)具有光學特性,該等光學特性在曝光波長(對於先進微影術通常為193nm)下實質上與將被形成於該記憶層上並被圖案化的光阻層之光學特性類似或幾乎類似。因 此,光阻和記憶(硬光罩)層的界面對於曝光波長是光學上「不可見的」。如此允許進行多次硬光罩的微影和蝕刻程序,且毋需施加、圖案化及剝除中間材料層,同時曝光的光阻基本上不會在所需的曝光圖案中引發光學形貌或反射率的變化。結果,每個後續的微影曝光經歷相同或幾乎相同的反射率,消除了進行複雜的光學近場校正及施加多次複雜的三層然後微影、蝕刻及剝除該三層的需求。
本文中的實施例包括用於形成光學匹配的硬光罩的硬體,該硬體包括泵送系統和腔室冷卻系統、全面侵蝕磁控管陰極、處理套組和氣流設計、靜電吸盤(ESC)、脈衝式直流電源、摻雜的矽靶材及含H及/或O及/或N及/或C的氣體供應。
在一些實施例中,該硬體設以能夠形成與具體所需的阻劑光學匹配的SiOxNyCz:Hw層。該SiOxNyCz:Hw膜的折射率(n)和消光係數(K)可藉由調整氣流和生成膜的w、x、y及z值來調整,以將該膜的光學特性與用以蝕刻該層的阻劑匹配。
本揭示的實施例可以提供在膜堆疊上形成硬光罩的方法,包含以下步驟:從位於腔室中的靶材濺射含矽材料到基板之表面上;以及輸送製程氣體之流動,同時從該靶材濺射該含矽材料,其中該製程氣體包含氧和氮,及其中調整該製程氣體中的氧對氮之比率,使得在意圖使用的微影曝光波長下,濺射材料之光學特性具有與光阻層之該光學特性實質上相等的值,該光阻層將被配置於該濺射材料之表面上。
本揭示的實施例可以進一步提供硬光罩層,該硬光 罩層包含位於基板之表面上的SiOxNy層,其中調整該SiOxNy層之矽、氧及氮含量,使得該SiOxNy層之折射率(n)實質上等於該SiOxNy層上將形成的阻劑層之折射率(n),並且該等折射率係在意圖使用的微影曝光波長下量測。該SiOxNy層還可以具有消光係數,該消光係數實質上等於該SiOxNy層上將形成的該阻劑層之消光係數。
100‧‧‧傳統的循環
101‧‧‧低K材料
102‧‧‧第一硬光罩
103‧‧‧第一光學平坦化層
104‧‧‧灰化阻障
105‧‧‧硬光罩層
106‧‧‧第二光學平坦化層
107‧‧‧含矽抗反射層
108‧‧‧光阻
109‧‧‧基板
110‧‧‧第一快照
116‧‧‧三層
118‧‧‧圖案特徵
120‧‧‧第二快照
125‧‧‧第一特徵
130‧‧‧第三快照
135‧‧‧開口
140‧‧‧第四快照
145‧‧‧三層
148‧‧‧第二圖案
150‧‧‧第五快照
155‧‧‧開口
160‧‧‧第六快照
165‧‧‧開口
200‧‧‧新循環
201‧‧‧低K材料
202‧‧‧TiN金屬硬光罩
203‧‧‧第一光學平坦化層
204‧‧‧灰化阻障
205‧‧‧硬光罩層
208‧‧‧光阻層
210‧‧‧快照
218‧‧‧孔
220‧‧‧第二快照
225‧‧‧開口
226‧‧‧共形聚合物側壁
230‧‧‧第三快照
235‧‧‧第一開口
240‧‧‧第四快照
245‧‧‧光阻層
248‧‧‧圖案
250‧‧‧第五快照
256‧‧‧共形聚合物側壁
260‧‧‧第六快照
265‧‧‧開口
300‧‧‧PVD腔室
302‧‧‧全面侵蝕磁控管陰極
304‧‧‧腔室蓋組件
306‧‧‧接地框架
308‧‧‧腔室主體
310‧‧‧側壁
312‧‧‧接地屏蔽
316‧‧‧中央部分
318‧‧‧處理空間
320‧‧‧靶材
322‧‧‧遮蔽框架
324‧‧‧週邊部分
326‧‧‧接地屏蔽組件
328‧‧‧氣源
330‧‧‧出入口
332‧‧‧電源
334‧‧‧WVG系統
336‧‧‧腔室屏蔽
338‧‧‧基板支座
340‧‧‧軸
342‧‧‧波紋管
344‧‧‧升舉機構
346‧‧‧底部
348‧‧‧控制器
350‧‧‧泵送口
352‧‧‧泵送裝置
354‧‧‧上部處理區域
356‧‧‧唇緣
358‧‧‧記憶體
360‧‧‧CPU
362‧‧‧支援電路
375‧‧‧天線電源
376‧‧‧天線
380‧‧‧電極
381‧‧‧直流電源
385‧‧‧電源
386‧‧‧偏壓電極
390‧‧‧基板
421‧‧‧基板
422‧‧‧ARC層
423‧‧‧光調諧的硬光罩層
424‧‧‧阻劑層
430‧‧‧溝槽特徵
432‧‧‧關鍵尺寸
440‧‧‧開口
441‧‧‧第二光阻層
460‧‧‧溝槽特徵
480‧‧‧溝槽
494‧‧‧溝槽
498‧‧‧溝槽
505‧‧‧原位形成的O2電漿
506‧‧‧灰化前
507‧‧‧灰化後
510‧‧‧圖
520‧‧‧圖
530‧‧‧圖
550‧‧‧遠端形成的O2電漿
560‧‧‧圖
570‧‧‧圖
580‧‧‧圖
700‧‧‧群集工具
706A‧‧‧負載鎖定腔室
706B‧‧‧負載鎖定腔室
710‧‧‧第一機器人
712‧‧‧處理腔室
714‧‧‧蝕刻腔室
716‧‧‧熱處理腔室
718‧‧‧熱處理腔室
722‧‧‧中間移送室
724‧‧‧中間移送室
730‧‧‧第二機器人
732‧‧‧PVD腔室
734‧‧‧PVD腔室
736‧‧‧處理腔室
738‧‧‧處理腔室
為了得到並可以詳細了解本文實施例之上述特徵,可參照附圖中說明的實施例而對以上簡單概述的本發明作更特定的描述。
第1圖描繪傳統使用三層蝕刻多圖案化硬光罩層的循環。
第2圖描繪本文中使用單層蝕刻多圖案化硬光罩層的實施例。
第3圖描繪能夠形成硬光罩層的製程腔室之一個實施例的剖面圖。
第4A圖至第4M圖描繪使用單層蝕刻多圖案化的製程流程圖。
第5圖描繪使用原位和遠端電漿活化的O2進行灰化對硬光罩層造成的變化。
第6圖描繪使用原位和遠端電漿活化的H2/N2進行灰化對硬光罩層造成的變化。
第7圖圖示適用於以微影方式在基板上形成多圖案 化的硬光罩的示例性群集工具700。
為了便於理解,已在可能處使用相同的元件符號來指稱對於圖式為相同的元件。構思的是,可以將一個實施例的元件和特徵有益地併入其他的實施例中而毋需另外詳述。
然而應注意的是,附圖說明的只是示例性的實施例,因而不應將附圖說明視為是對本發明範圍作限制,因本發明可認可其他同樣有效的實施例。
在一些實施例中,提供的硬光罩層具有相對於上方光阻層為實質上類似的光學特性。硬光罩層的光學特性使得在光阻微影波長的光不會發生內部反射和折射,或是該內部反射和折射被最小化到曝光的光阻特徵之精準度不會發生衰退的程度。提供的硬光罩層藉由重複在硬光罩層上形成光阻、曝光光阻、將顯影的圖案從光阻轉移到硬光罩層及剝除/灰化光阻以從硬光罩層去除光阻、然後清洗和乾燥上面直接接收另一光阻層的硬光罩層的步驟來進行多次的圖案化。
硬光罩層也被稱為記憶層,可以直接位在半導體層(例如晶圓)上,並且硬光罩層提供上面的遮罩用於離子佈植或使摻雜劑擴散進入基板,而且硬光罩層還可以被用來在沉積薄膜層中形成開口,以形成內連線以及其他的特徵和元件,例如用於昇起式閘極、電容等的薄膜層,該等薄膜層可以經形成在基板表面上方。
本文中具體描述的實施例揭示了用於最終形成多圖案化硬光罩的方法,該多圖案化硬光罩最終被用來圖案化和 蝕刻介電質內連線材料,以形成含金屬的特徵,該特徵具有高深寬比及/或小尺寸。如本文所討論的,該特徵之高深寬比係指深寬比超過4:1的次微米結構,而小尺寸係指尺寸約小於55nm的次微米結構。沉積製程可以包括在處理過程中供應至少一種惰性氣體進入製程腔室。藉由在沉積製程期間調整供應的惰性氣體在氣體混合物中的氣體比率和分壓,可以在整個基板表面上獲得良好的輪廓控制和膜均勻性形成。
本文的實施例提供的方法用於形成物理氣相沉積(此後稱為PVD)氧化矽或富矽氧化物,或PVD SiN或富矽SiN,或SiC或富矽SiC,或前述之組合,包括化合物中含有控制的氫摻雜的變化,以上稱為SiOxNyCz:Hw,其中w、x、y及z可以在從0%到100%的濃度中變化。SiOxNyCz:Hw層係被生產作為硬光罩,該硬光罩的光學特性與將被施加在上面的光阻充分匹配,並用以在曝光波長(先進微影為193nm)下蝕刻SiOxNyCz:Hw膜層(該膜層在本文中有時被泛稱為SiONC膜層),以使硬光罩與光阻在光學上難以區分。在一些配置中,SiOxNyCz:Hw層可以包含具有理想光學特性的SiOxCz:Hw層、SiOxNy:Hw層或SiOxNyCz:Hw層。硬光罩和阻劑在光學特性上的匹配允許在硬光罩上直接進行微影、蝕刻、阻劑剝除及再次施加阻劑的多個程序,以進一步圖案化硬光罩;而阻劑仍為基本上「光學平坦化的」,且例如阻劑的反射率沒有意圖的光學形貌或變化。如此使後續的微影曝光經歷相同或大體上相同的反射率,省去了模擬和進行複雜的光學近場校正的需求。此外,微影、蝕刻及剝除的多重複雜三層循環可被 免除,因為只需要將單一阻層直接施加在硬光罩上。
第1圖描繪傳統的先前技術循環100的實例,循環 100使用三層光阻來多圖案化硬光罩層,該三層光阻將被施加在硬光罩層上並用以蝕刻SiO2膜層。傳統的循環100被描繪在第1圖的快照110至160中,快照110至160描繪隨著處理進行的同一部分基板。在此實例中圖示出雙硬光罩蝕刻層的範例,其中待圖案化的最終層,低K層101被第一硬光罩102覆蓋,圖案化第一光學平坦化層材料103和灰化阻障層104,並將圖案化記憶層105形成在上方。具體來說,基板109包括低K材料101,在低K材料101上形成氮化鈦(TiN)金屬硬光罩102層,並將第一光學平坦化層103和灰化阻障104形成在上面。記憶層105(硬光罩層)被配置在灰化阻障104的頂表面上。配置在記憶層105頂部上的是三層116。該三層包括第二光學平坦化層106及依序形成在上面的含矽抗反射層107和光阻108。在先前技術中,記憶層是使用化學氣相沉積形成的,其中矽和氧的前驅物通常在電漿環境中結合,以形成硬光罩膜。
在第一快照110中,使用微影來曝光光阻108,並 在光阻108中顯影出圖案特徵118。特徵118表示藉由微影曝光和後續的阻劑顯影去除光阻108的區域。
在第二快照120中,進行蝕刻製程125來蝕刻第一 特徵125通過第二光學平坦化層106,而且蝕刻第一特徵125在硬光罩記憶層105中。在蝕刻製程125期間,光阻108被至少部分地蝕刻掉,並且矽抗反射層107的曝露部分、第二 光學平坦化層106及記憶層105被蝕刻。
在第三快照130中,三層116被灰化或以其他方式 被從記憶層105剝除。如此在層堆疊的頂表面上留下局部圖案化的記憶層105。此外,記憶層具有由於先前如第二快照120中所圖示的蝕刻處理而貫穿該記憶層中的開口135。開口135只是必須在記憶層105中形成的總圖案的一部分。然而,由於所形成的形貌,進一步微影記憶層105需要重新平坦化以及光隔離記憶層105。因此,另一個三層145被形成在記憶層105的頂部上。此舉涉及沉積第三次施加的第二光學平坦化層106和矽抗反射層107,隨後在上面施加光阻108。
在第四快照140中,新再形成的三層145在微影曝 光和顯影光阻層108之後已被圖案化形成第二圖案148。第二圖案148被用於第五快照150中圖示的第二蝕刻155。第二蝕刻步驟移除再形成的三層145和記憶層105中的材料(圖示為漏斗形的特徵或開口155)。如第六快照160中所圖示,在第二剝除處理之後,記憶層105上方剩餘的三層(145)被去除。記憶層105現在有兩個形成的開口135和165。
對於同一硬光罩層的每個圖案化步驟,先前的三層 必須被從基板剝除,基板必須進行清洗,然後必須施加新的三層。第1圖中描述的操作需要沉積6層(2個三層)來平坦化,或光學上使晶圓平整,以在形成第六快照中所見的開口135和165時為微影操作保持聚焦並在光學上隔離記憶層105。以下討論的實施例提供以多個圖案化步驟在硬光罩層中製備多個開口的設備和方法,且在後續的微影步驟期間無需 光學上平坦化或隔離圖案化的硬光罩層,以進一步圖案化硬光罩層。第2圖描繪依據本文中的一個實施例之用於多圖案化光學匹配的硬光罩層的新循環200。
如第2圖中圖示的新循環200包括一部分基板的快 照210至260,其中兩個開口235和265分別被以兩個不同的微影步驟形成在硬光罩層205中。在實例中,基板包括低K材料201,低K材料201上面具有TiN金屬硬光罩202以及第一光學平坦化層203(底層)和抗反射塗層/灰化阻障204(中間層)。「記憶」硬光罩層205(在上面形成的硬光罩層)被配置在ARC/灰化阻障204的頂表面上。ARC/灰化阻障204可以包含具有抗反射特性(在λ=193nm)及蝕刻終止和灰化阻障特性的薄膜,以在硬光罩層205上進行蝕刻和PR剝除。 ARC/灰化阻障204可以由矽基材料來形成。ARC/灰化阻障204可以替代地從氮化鋁(AlN)、AlON、SiN、TiN或其它具有抗反射特性、蝕刻終止特性、灰化阻障特性的堅固材料形成,而且ARC/灰化阻障204也可以被選擇性地去除。例如,ARC/灰化阻障204可以藉由在基板的表面上沉積AlN層來形成。AlN層可以藉由物理氣相沉積、化學氣相沉積、原子層沉積或藉由其他適當的方法來形成。配置在硬光罩層205頂部上的只有光阻層208,使得光阻層208至少部分與硬光罩層205直接接觸。
硬光罩層205可以是具有各種範圍從0%至100% 的w、x、y及z值的SiOxNyCz:Hw膜。在一些情況下,SiON、SiOC或SiONC類型的膜可以被摻雜氫(H),如本文所用的 命名「:H」所表示的。硬光罩層205的組成被調諧成在微影圖案化的曝光波長(通常是193nm)下匹配光阻層208的n和K值。藉由物理氣相沉積從矽靶材形成的SiOxNy膜具有在曝光波長193nm下範圍在1.5至2.5之間的折射率n及約0至0.3的消光係數K。SiOxNyCz:Hw膜的邊界可能會影響形成在上面的下一層(即光阻層208)的特性。因此,在一些配置中,理想的是調整在硬光罩層205與光阻層208界面處或邊界區域找到的硬光罩層205中的材料之組成及/或特性。在硬光罩層205與光阻層208界面處的硬光罩層205之邊界區域可以只有幾埃或單層厚。在一個實例中,理想的是藉由在沉積製程的後段期間關閉載氮及/或載氫氣體的流動來調整在界面區域中的氫(H)及/或氮(N)濃度,使得在邊界區域中的H及/或N濃度至少少於所形成的層的其餘部分內的H及/或N濃度。在一個實例中,在硬光罩層205表面的氫濃度係小於貫穿沉積的硬光罩層205厚度的平均氫濃度,及/或在硬光罩層205表面的氮濃度係小於貫穿沉積的硬光罩層205厚度的平均氮濃度。可以利用以氣體原子(例如離子化氬(Ar)氣)轟擊沉積的硬光罩層205表面來改變所形成的硬光罩層205之組成(例如H及/或N濃度)或晶體結構。轟擊製程可以藉由在基板表面上形成電漿,然後偏壓基板或基板支座(基板靜置於該基板支座上)來進行,使得電漿中的離子化氣體原子轟擊基板的表面。在一個配置中,轟擊製程係作為形成硬光罩層205的最後一個步驟進行,以便改變及/或調整膜的表面能並濕潤表面的角度特性。因此,藉由使用以上討論的其 中一個製程,可以調整邊界區域和硬光罩層205表面的特性,使得表面是疏水性的或至少比均勻組成類型的硬光罩層及/或「初沉積的」硬光罩層更疏水。此外,藉由使用該等製程中的至少一個製程,可以使硬光罩層205成為惰性且穩定的,以免毒害上面形成的光阻層208。由於膜的化學計量並不是與沉積溫度非常相關,所以低溫沉積是可能的。
另外,轟擊沉積的硬光罩層205表面的離子也可以 被偏壓到基板,以在硬光罩層205上面沉積光阻層208之前促進基板具有光滑的表面。離子對基板的偏壓越強,則基板表面會變得更緻密和更平滑。可以使用轟擊製程來使硬光罩層205的表面平滑,使得表面不具有明顯的粗糙或肉眼可見的特徵,例如在圖案化光阻時可能分散微影波長的小凸起或草皮層。有利的是,在硬光罩層205中蝕刻出關鍵尺寸之前密切控制光阻層208中的圖案。
在一些實施例中,調整硬光罩層205的特性,使得 在圖案化程序的微影圖案相的對準過程中,先前在硬光罩層205中形成的對準標記或對準圖案在對準檢查波長(通常是530或630nm)下可與位在硬光罩層205上的光阻層區別。 硬光罩層205的折射率n或消光係數K可以在對準波長(530nm/630nm)下與光阻層208不同,而在微影曝光波長(193nm)下仍然類似。消光係數可以是對波長敏感的。因此,硬光罩層205可以被摻雜氫(H)、硼(B)或鉀(K)。可以將摻雜原子添加到靶材,或可以在沉積製程期間使用製程氣體來調整硬光罩層205只在所需波長下的光學特性。因此,可以將 硬光罩層205調諧成在微影波長193nm下實質上匹配折射率n和消光係數K,而同時在530nm或630nm的對準波長下具有不同的折射率n或消光係數K。或者,可以將染料加入硬光罩層295,以使硬光罩層205在193nm的微影波長下與光阻層245可區別,但在530nm或630nm的對準波長下不可區別。
如本文所述,硬光罩和阻劑的光學特性是足夠相似的,以能夠僅使用上面單層的阻劑來重複圖案化硬光罩。為了成為光學上平面的,在硬光罩和阻劑的界面的反射率需要為至多1-2%。由於反射率有區別的功能,故必須匹配n & K來使兩種材料的界面處無反射;僅匹配n是不夠的。對於相對於光阻層208匹配的硬光罩層205來說,n & K充分匹配,使得菲涅耳(Fresnel)方程式預測出<2%的反射率。然而,在最先進的微影術中,菲涅耳方程式應預測出<0.5%的反射率。在硬光罩層和光阻的n & K值中的差異提供硬光罩與阻劑充分匹配的指標。對光阻層208充分匹配的硬光罩層205具有在±0.01內的K值和在±0.01內的n值。
為了將氮或氫併入濺射的Si中,存在於濺射腔室中的氧水平必須非常低。即使是在1/10的O2/N2比之下,「10%」的O2值係足以滿足,即在排除氮到PVD沉積的矽中懸垂Si鍵(鍵結部位)的鍵結。例如,對於100個矽懸垂鍵(鍵結部位)的原子來說,引入100個O2原子和10,000個N2原子,該100個O2原子將會與Si懸垂鍵鍵結以排除N2。因此,少量的氮將會被併入膜中。因此,藉由膜的O2/N2比來調整折射 率n可以在沉積製程過程中藉由匱乏O2氣流來調整。
在第2圖的第一個快照中,使用193nm波長的「紫 外光」(電磁能)來將圖案曝光到光阻層208上,光阻層208被顯影而形成孔218。微影工具可以同時印出十億個如此的特徵。然而,隨著晶片變得越來越密集,晶片設計已要求超過數十億個特徵。為了完成此要求,微影工具在單次操作中只曝光將被形成在表面中或表面上的總特徵之一部分。其餘特徵的圖案在後續操作期間被對準在基板上。然後,其餘的特徵在後續操作中被形成在層上或層中。因此,微影曝光三十億個特徵可能需要至少3個循環。
孔218是將被形成在硬光罩層205中的較大設計圖 案之一部分。光阻層208中的圖案孔218在孔218內曝露出硬光罩層205的頂表面。硬光罩層205具有匹配或幾乎匹配光阻層208的折射率(n)和消光係數(K)。藉由匹配或幾乎匹配光阻層208和硬光罩層205的光學特性n和K,光阻層208和硬光罩層205的界面不會或至少僅最低限度地反射或折射微影波長的紫外光,因此該界面對於微影曝光波長變成「不可見的」。結果,光阻層208和硬光罩層205一起對193nm的紫外線曝光波長顯現為光學上平整或平坦化的,不管在該界面是否存在三維特徵,而且不需要為下方的硬光罩的每個圖案化步驟形成光學平坦化層。在一個實施例中,光阻層208和硬光罩層205具有折射率n=1.6和消光係數K=0.05。結果,曝光的電磁能量將不會在硬光罩層205和上覆的光阻層208的實體界面處反射或折射。
在第二快照220中,選擇性的共形聚合物226被沉 積在光阻層208和硬光罩層205的曝露表面上,並在共形聚合物226上進行蝕刻製程,以形成開口225。193nm波長的微影對於可以形成在光阻層208中的特徵(例如溝槽)之尺寸(寬度)具有實際上的限制。共形聚合物的沉積能夠獲得比單獨由193nm的微影能夠獲得的更窄的特徵。或者,可以利用對於微影更小的波長來形成所需寬度的圖案。在此情況下不會使用共形聚合物。
在第三快照230中,蝕刻下方的記憶硬光罩層205 以形成第一開口235之後,藉由灰化操作去除共形聚合物側壁226和光阻層208,而沒有明顯改變硬光罩層205的折射率(n)或消光係數(K)值。在一個實施例中,使用遠端O2電漿來灰化光阻層208。或者,使用選擇性地在遠端電漿源中被活化之後的含氫氣體和含氮氣體(例如H2和N2)的混合物來灰化光阻層208。開口235只代表一部分須在硬光罩層205中形成的總圖案。
灰化可以使用遠端電漿源進行或在原位進行。為了 支援多個灰化操作,硬光罩層205的折射率(n)和消光係數(K)值的變化必須是最小的。第5圖繪示使用原位形成的O2電漿505和遠端形成的O2電漿550進行灰化時硬光罩層205的變化。
原位形成O2電漿505的影響的三個圖形表示在圖 510中描繪橫跨層的記憶層(即硬光罩層)厚度、在圖520中描繪橫跨層的記憶層折射率,以及在圖530中描繪橫跨層 的記憶層消光係數。圖510至530中將厚度、折射率及消光係數描繪在y軸上。沿著x軸描繪的是在位置沿著從基板中心到外緣的同心圓的樣品位置的49(四十九)個量測值。量測是在灰化前506和灰化後507進行。如圖510中所圖示,從灰化前506到灰化後507記憶層厚度改變了。如圖520中所圖示,從灰化前506到灰化後507記憶層折射率改變了。而且如圖530中所圖示,從灰化前506到灰化後507記憶層消光係數改變了。使用原位形成的O2電漿進行的灰化表現出小的厚度變化,而且隨著O2含量增加,SiON中的折射率有極微的降低,此舉可能是SiON的氧化所導致。
遠端形成O2電漿550作為灰化介質的影響的三個圖形表示在圖560中描繪橫跨層的記憶層厚度,在圖570中描繪橫跨層的記憶層折射率,以及在圖580中描繪橫跨層的記憶層消光係數。再次地,圖560至580中將厚度、折射率及消光係數描繪在y軸上。沿著x軸描繪的是在位置沿著從基板中心到外緣的同心圓的樣品位置的49個量測值。如圖560中所圖示,從灰化前506到灰化後507記憶層厚度大體上並未改變。如圖570中所圖示,從灰化前506到灰化後507記憶層折射率大體上並未改變。而且如圖580中所圖示,從灰化前506到灰化後507記憶層消光係數大體上並未改變。
第6圖描繪使用原位形成的和遠端形成的含氫(H2)氣體和含氮(N2)氣體的電漿混合物(H2/N2)605和650進行灰化時,硬光罩層205中的變化。
與第5圖的方式相同,遠端形成H2/N2電漿605作 為灰化介質的影響的三個圖形表示在圖610中描繪橫跨層的記憶層厚度,在圖620中描繪橫跨層的記憶層折射率,以及在圖630中描繪橫跨層的記憶層消光係數。圖610至630中將厚度、折射率及消光係數描繪在y軸上。沿著x軸描繪的是在位置沿著從基板中心到外緣的同心圓的樣品位置的49個量測值。量測是在灰化前606和灰化後607進行。如圖610中所圖示,從灰化前606到灰化後607記憶層厚度大體上並未改變。如圖620中所圖示,從灰化前606到灰化後607記憶層折射率大體上並未改變。而且如圖630中所圖示,從灰化前606到灰化後607記憶層消光係數大體上並未改變。
原位形成H2/N2電漿650的影響的三個圖形表示在 圖660中描繪橫跨層的記憶層厚度,在圖670中描繪橫跨層的記憶層折射率,以及在圖680中描繪橫跨層的記憶層消光係數。再次地,圖660至680中將厚度、折射率及消光係數描繪在y軸上。沿著x軸描繪的是在位置沿著從基板中心到外緣的同心圓的樣品位置的49個量測值。如圖660中所圖示,從灰化前606到灰化後607記憶層厚度改變了。如圖670中所圖示,從灰化前606到灰化後607記憶層折射率改變了。 而且如圖680中所圖示,從灰化前606到灰化後607記憶層消光係數改變了。使用原位形成H2/N2電漿進行的灰化顯示消光係數有一定的增加量。
因此,對於用作灰化介質的O2氣體或H2/N2氣體來 說,遠端形成電漿來從記憶層(硬光罩層)205灰化阻劑導致硬光罩層205的折射率(n)和消光係數(K)值變化明顯小 於使用原位形成電漿者。如此允許微影、蝕刻及剝除阻劑以在硬光罩中形成特徵的多個程序進行,而毋需承受光學形貌或反射率的變化。
再次參照第2圖的快照240,以能夠第二次圖案化 硬光罩層205,光阻245被沉積並顯影在硬光罩層205上,目的是在記憶硬光罩層205中圖案化剩餘的開口。在每個微影操作之前,使用530nm或630nm的波長將開口的圖案在基板上與硬光罩層205中蝕刻出的圖案對齊。硬光罩層205具有在193nm的微影波長下匹配光阻層245的折射率(n)和消光係數(K),硬光罩層205和光阻層245的界面對於曝光的電磁能量是光學上無法區分的。然而,硬光罩層205具有在約530nm或約630nm的波長下與光阻層245不同的折射率(n)和消光係數(K),以允許微影圖案對齊。因此,硬光罩層205的n和K在193nm的波長下是與光阻245的n和K無法區分的,而在530nm或630nm的波長下是可區分的。 如此消除了先前需要光學平坦化或遮蔽先前蝕刻的特徵以免曝露於微影電磁能量,而在硬光罩層205上沉積另外的材料的需求。也就是說,已不再需要沉積如第1圖所示包含第二光學平坦化層106和矽抗反射層107的三層。此外,由於硬光罩層205和上覆的阻劑之間在193nm的微影波長下沒有界面反射或有可接受小的界面反射,故可以不需要光學近場校正來校正隨後的微影操作中的形貌,而且申請人已經在未使用光學近場校正下使用光學匹配的阻劑和記憶層完成了圖案化。
在第四快照240中,圖案248已被微影曝光並顯影 在光阻245中。圖案248是被形成在硬光罩層205中的較大設計圖案之一部分。在蝕刻製程之前共形聚合物被沉積在光阻層208和硬光罩層205的曝露表面上。或者,共形聚合物的沉積可以發生在蝕刻硬光罩層205的過程中。
在第五快照250中,在蝕刻操作之後顯現出硬光罩 層205中的開口265。蝕刻之後,可以在圖案248的側壁256上看見共形聚合物。在第六快照260中,藉由灰化操作去除共形聚合物側壁256和光阻層245。以不意圖改變硬光罩層205的折射率(n)或消光係數(K)值的方式進行灰化操作。 在積體電路的製造製程中,基板可以被進行多次的微影處理。然而,在同一硬光罩層205上進行多個蝕刻和灰化的微影循環並未明顯改變硬光罩層205的折射率(n)或消光係數(K)值。結果,不需要沉積光學平坦化層和矽抗反射層及使用光學近場校正來形成開口235、265。
經由匹配硬光罩和光阻在193nm波長下的n(折射 率)和K值,形成了硬光罩SiOxNyCZ。在另一個實施例中,硬光罩是SiO2。再次地,如此涉及調整硬光罩在193nm波長下的n和K來匹配光阻(軟遮罩)的n和K,同時區分在530nm或630nm波長下的硬光罩和光阻的n和K。先前用以形成SiON膜層的化學氣相沉積(CVD)技術需要較高的溫度,並產生具有較高K值的膜,因為氫從矽烷前驅物變成併入膜中。此外,先前技術的膜層通常含有形成酸的N-H或胺鍵,該酸會干擾光阻並可能導致副產物,該副產物即可能需要被 去除的浮渣。氫形成吸收193nm波長的Si-H,並因此氫提高了K值。此舉在滿足SOC膜的熱預算所要求的低沉積溫度(通常<200℃)下尤其是真實的。因此,使用CVD使SiON層的消光係數(K)或吸收的電磁能量變得過高。申請人在本文中揭示的是,可以形成物理氣相沉積(PVD)形成的SiOxNyCZ膜或層,該SiOxNyCZ膜或層可以被調諧成相關阻劑的n和K值。此外,可以在遠低於200℃下形成硬光罩層,而且已經在約20至25℃下藉由在反應性氛圍中濺射矽來沉積可接受的光調諧硬光罩層。
然而,矽是難以濺射的。通常,當濺射介電層時, 表面將會累積導致電弧和靶材粒子射出的電荷。使用脈衝直流允許從濺射靶材用的負極快速切換到掃描或電荷刷除(中和介電質表面上的所有電荷)靶材用的正極。
第3圖圖示適用於濺射沉積材料的示例性物理氣相 沉積(PVD)製程腔室300(例如濺射製程腔室)。可適用於形成SiOxNyCZ膜層的製程腔室之一個實例為可向位於加州聖克拉拉市的應用材料公司取得的PVD製程腔室。構思的是,其他的濺射製程腔室(包括來自其他製造商的彼等製程腔室)也可適用於實施本發明。
製程腔室300包括腔室主體308,腔室主體308中 具有界定的處理空間318。腔室主體308具有側壁310和底部346。腔室主體308和製程腔室300的相關部件的尺寸沒有限制,而且該等尺寸通常是比例上大於將要處理的基板390的尺寸。任何適當的基板尺寸都可以進行處理。適當的基板尺 寸之實例包括具有200mm直徑、300mm直徑或450mm直徑的基板。
腔室蓋組件304被安裝在腔室主體308的頂部上。 腔室主體308可以由鋁或其它適當的材料製造。基板出入口330被形成通過腔室主體308的側壁310,以利於移送基板390進出製程腔室300。出入口330可被耦接到移送室及/或基板處理系統的其他腔室。
水蒸汽產生(WVG)系統334被耦接到製程腔室300 中界定的處理空間318。WVG系統334藉由O2和H2的催化性反應產生超高純度的水蒸汽。或者,WVG系統334也可以視需要藉由直接蒸發水(H2O)成為水蒸汽來產生水蒸汽。 WVG系統334具有內襯催化劑的反應器,或其中藉由化學反應產生水蒸汽的催化劑盒。催化劑可以包括金屬或合金,例如鈀、鉑、鎳、上述金屬之組合及上述金屬之合金。雖然水蒸汽通常是藉由使H2和O2流入反應器來產生的,但O2可以被補充或使用另一種氧源化合物取代,該氧源化合物例如NO、N2O、NO2、N2O5、H2O2或O3。在一個實施例中,視需要使用H2和N2O來形成水蒸汽。超高純度的水對於清洗製程是理想的,以去除位於基板390上的下介電層中形成的不良污染或純度。超高純度的水對於提供H2O進入處理空間318是理想的,以形成具有O2和H2離子的電漿。
氣源328被耦接到腔室主體308,以供應製程氣體 進入處理空間318。在一個實施例中,若需要的話製程氣體可以包括惰性氣體、非反應性氣體及反應性氣體。可由氣源328 提供的製程氣體之實例包括但不限於氬氣(Ar)、氦(He)、氖氣(Ne)、氪(Kr)、氙(Xe)、氮氣(N2)、氧氣(O2)、氫氣(H2)、H2O(來自WVG系統334的蒸汽形式)、形成氣體(N2+H2)、氨(NH3)、甲烷(CH4)、一氧化碳(CO)及/或二氧化碳(CO2)等等。
泵送口350被形成通過腔室主體308的底部346。 泵送裝置352被耦接到處理空間318,以抽空並控制處理空間318中的壓力。泵送系統和腔室冷卻設計使得在適合熱預算需求的溫度(例如-25℃至+500℃)下能夠有高基部真空(1E-8托或更低)和低上升速率(1,000毫托/分鐘)。泵送系統被設計來提供精確的製程壓力控制,製程壓力是RI控制和調整的關鍵參數。
蓋組件304通常包括靶材320和耦接至靶材320的 接地屏蔽組件326。靶材320提供了在PVD製程期間可被濺射並沉積到基板390表面上的材料源。在DC濺射過程中靶材320作為電漿電路的陰極。
靶材320或靶材板可從用於沉積層的材料,或在腔 室中將形成的沉積層的元素製成。高電壓電源,例如電源332被連接到靶材320,以便利於從靶材320濺射材料。在一個實施例中,靶材320可以由含有矽(Si)、鈦(Ti)金屬、鉭金屬(Ta)、鉿(Hf)、鎢(W)金屬、鈷(Co)、鎳(Ni)、銅(Cu)、鋁(Al)、上述金屬之合金、上述金屬之組合或類似物的材料製成。此外,在處理過程中來自靶材的電子發射可以由n型或p型摻雜的靶材控制。靶材可以被摻雜導電元素, 例如硼(B)。靶材材料可以具有單晶與多晶結構。例如,靶材可以包括Si,其中整個Si靶材的晶格是單晶。在本文所描繪的示例性實施例中,靶材可以由本質Si,或摻雜的導電Si,或特定組成的SiOxNyCz:Hw複合靶材製成。在一個實施例中,靶材是純度99.999%且摻雜有每平方公分約1×1018個硼原子的Si。
靶材320通常包括週邊部分324和中央部分316。 周邊部分324被配置在腔室的側壁310上方。靶材320的中央部分316可以具有彎曲表面,該彎曲表面稍微朝向位在基板支座338上的基板390之表面延伸。靶材320和基板支座338之間的間距被保持在約50mm和約350mm之間。值得注意的是,靶材320的尺寸、形狀、材料、結構和直徑可以為了特定製程或基板要求而改變。在一個實施例中,靶材320可以進一步包括具有中央部分的背板,該中央部分藉由期望被濺射到基板表面上的材料結合及/或製成。靶材320還可以包括共同形成靶材的相鄰瓦片或分段材料。
蓋組件304還可以包含安裝在靶材320上方的全面 侵蝕磁控管陰極302,在處理過程中全面侵蝕磁控管陰極302增強來自靶材320的有效濺射材料。全面侵蝕磁控管陰極321允許容易和快速的製程控制及訂製的膜特性,同時確保在整個晶圓上有一致的靶材侵蝕和均勻的SiOxNyCz:Hw膜沉積,其中各個w、x、y及z值範圍從0%到100%。磁控管組件的實例包括線性磁控管、蛇形磁控管、螺旋磁控管、雙指狀磁控管、矩形化螺旋磁控管等等。
蓋組件304的接地屏蔽組件326包括接地框架306 和接地屏蔽312。接地屏蔽組件326還可以包括其它的腔室屏蔽構件、靶材屏蔽構件、暗空間屏蔽及暗空間屏蔽框架。接地屏蔽312藉由接地框架306耦接到周邊部分324,接地框架306界定處理空間318中靶材320之中央部分下方的上部處理區域354。接地框架306將接地屏蔽312與靶材320電絕緣,同時經由側壁310提供到製程腔室300的腔室主體308之接地路徑。接地屏蔽312在處理過程中將產生的電漿局限在上部處理區域354內,並且接地屏蔽312從靶材320的局限中央部分316取出靶材源材料,從而允許取出的靶材源被主要沉積在基板表面上而不是腔室側壁310上。在一個實施例中,接地屏蔽312可以由一個或更多個工件片段形成及/或該等工件中的數個藉由本技術領域中習知的製程結合,例如焊接、膠合、高壓壓縮等。
延伸穿過腔室主體308底部346的軸340耦接到升 舉機構344。升舉機構344設以在較低的移送位置和較高的處理位置之間移動基板支座338。波紋管342環繞軸340並耦接到基板支座338,以在中間提供撓性的密封,藉以保持腔室處理空間318的真空完整性。
基板支座338可以是靜電吸盤,並且基板支座338 具有電極380。靜電吸盤(ESC)338利用相反電荷的吸引來固定絕緣和導電基板390進行微影製程,並且靜電吸盤(ESC)338係由直流電源381供電。ESC 338包含嵌入介電質主體內的電極。直流電源381可以提供約200至約2000伏的DC吸 引電壓至電極。直流電源381還可以包括系統控制器,系統控制器藉由導引DC電流到電極來控制電極380的操作,用以吸引和釋放基板390。
可以將PVD製程的溫度保持在低於沉積在硬光罩層 205下方的有機膜變成揮發的溫度。例如,溫度可以低於約250攝氏度,並且溫度具有約50攝氏度的限度來防止硬光罩層205下方的有機膜除氣並污染腔室。ESC 338在裝置整合要求的熱預算所要求的溫度範圍中執行。例如;可拆卸式ESC 338(DTESC)用於零下25℃至100℃的溫度範圍,中溫ESC 338(MTESC)用於100℃至200℃的溫度範圍,高溫或高溫可偏壓或高溫高均勻性ESC 338(HTESC或HTBESC或HTHUESC)用於從200℃至500℃的溫度範圍,以確保晶圓快速均勻地升溫。
製程氣體被引入PVD腔室300之後,氣體被激發而 形成電漿。諸如一或更多個感應線圈的天線376可以被設置在鄰接PVD腔室300。天線電源375可以對天線376供電,以將能量(例如射頻能量)感應耦合到製程氣體,而在PVD腔室300的處理區中形成電漿。替代地或另外地,包含基板390下方的陰極和基板390上方的陽極的處理電極可以被用來耦合RF功率而產生電漿。還控制PVD腔室300中其他元件的操作的控制器可以控制電源375的操作。
遮蔽框架322位在基板支座338的周邊區域上,並 且遮蔽框架322設以局限從靶材320濺射到基板表面所需部分的源材料沉積。腔室屏蔽336可以位在腔室主體308的內 壁上,而且腔室屏蔽336具有向內延伸到處理空間318並設以支撐圍繞基板支座338的遮蔽框架322的唇緣356。當基板支座338被升到進行處理的較上方位置時,位在基板支座338上的基板314的外緣被遮蔽框架322接合,而且遮蔽框架322被升起並遠離腔室屏蔽336。當基板支座338被降低到靠近基板移送出入口330的移送位置時,遮蔽框架322回到腔室屏蔽336上。升舉銷(未圖示)被選擇性地移動通過基板支座338,以將基板390升舉到基板支座338上方,方便移送機器人或其它適當的移送機制接近基板390。
控制器348被耦接到製程腔室300。控制器348包括中央處理單元(CPU)360、記憶體358及支援電路362。控制器348被用來控制製程程序、調節從氣源328進入製程腔室300的氣流及控制靶材320的離子轟擊。CPU 360可以是任何形式的、可在工業環境中使用的通用電腦處理器。軟體常式可以被儲存在記憶體358中,記憶體358例如隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動器,或其他形式的數位儲存器。支援電路362被以傳統的方式耦接到CPU 360,而且支援電路362可以包含高速緩存、時鐘電路、輸入/輸出子系統、電源及類似者。當由CPU 360執行時,軟體常式將CPU轉變成專用電腦(控制器)348,專用電腦348控制製程腔室300,使得製程被依據本發明執行。軟體常式也可以被第二控制器(未圖示)儲存及/或執行,該第二控制器位於PVD腔室300的遠端。
在處理過程中,材料被從靶材320濺射出並沉積在 基板390的表面上。靶材320和基板支座338被電源332相對於彼此及/或相對於地面加偏壓,以保持由氣源328供應的製程氣體所形成的電漿。來自電漿的離子被往靶材320加速並撞擊靶材320,導致靶材材料被從靶材320取出。取出的靶材材料和反應性製程氣體一起在基板390上形成具有所需組成的層。RF、DC或快速切換的脈衝直流電源或上述之組合提供可調諧的靶材偏壓,以精確地控制濺射的組成和SiOxNyCz:Hw材料的沉積速率。
在一些實施例中,還理想的是在SiOxNyCz:Hw層沉 積製程的不同階段期間分別施加偏壓到基板。因此,偏壓可以由電源385(例如直流及/或射頻電源)提供到基板支座338中的偏壓電極386(或吸盤電極380),使得在沉積製程的一或更多個階段期間,基板390將被電漿中形成的離子轟擊。 加偏壓於電極可用於使基板表面平滑,並增加基板表面的疏水性。在一些製程實例中,在已經進行了SiOxNyCz:Hw膜的沉積製程之後將偏壓施加到基板。或者,在一些製程實例中,在SiOxNyCz:Hw膜的沉積製程期間施加偏壓。因此,當在整個沉積製程期間皆保持基板的偏壓時,轟擊的原子會添加動能到在基板表面找到的沉積材料上。例如,可以使用約50瓦特和約1100瓦特之間的能量來偏壓到達基板的離子,以形成平滑的緻密膜。較大的偏壓驅動具有較大能量的離子到達基板表面。例如,後處理製程可以使用含氬(Ar)氣體,並且後處理製程藉由提供偏壓能量(例如介於約200-1100瓦)來在基板表面導引SiOxNyCz:Hw膜(即硬光罩層205)的離子而使 基板膜平滑。硬光罩層的平滑膜表面有利地防止了微影的光在顛簸表面上散射,該光散射可能會影響光阻層中形成的特徵之品質。在另一個實例中,後處理製程可以使用包括氬(Ar)氣的製程氣體。後處理可以使SiOxNyCz:Hw膜的表面更加穩定和疏水。
獨特硬體和製程的組合產生了對於上面將形成的阻 劑為光學匹配的SiOxNyCz:Hw。該SiOxNyCz:Hw膜的折射率(n)和消光係數(K)可藉由調整氣流和生成膜的化學計量來調整。當表面不再導電時,則靶材被毒化了。所以,當在靶材表面的氣體氛圍中的反應性物種特別富含反應性物種而使介電層被形成在整個靶材面上,並且靶材的行為在電性上就像是介電質而不是導體或半導體時則發生了毒化模式的濺射。 含H及/或O及/或N及/或C的氣體會在靶材表面上發生反應並毒化靶材表面,以形成所需的SiOxNyCz:Hw化學計量,使得光學「不可見性」和蝕刻選擇性的組合能夠最適合元件的需求。此外,甲烷(CH4)、一氧化碳(CO)、氫氣(H2)或二氧化碳(CO2)也可以被用來作為毒化氣體,以實現n和K值的更可調性以及所需的蝕刻選擇性。例如,可以使用H2來形成薄膜或SiH單層,以提高光吸收K,且由於層的稀薄度而不會提高反射率n。因此,含H氣體可以形成光吸收層。
硬體還可以包括氣箱。進入腔室之前在氣箱中混合 氣體是一種確保各種製程氣體的均勻氣體混合物均勻毒化靶材的方式。該氣箱可以混合H2與N2以微調K。可以將O2添加到NH混合物中(比先混合O2和H2更穩定且較不危險)。 使用兩個O2質量流量控制器(MFC)。一個質量流量控制器用於氧氣的大量控制,約在100-200sccm;且一個質量流量控制器用於氧氣的細微控制,約在5sccm。也可以將製程氬氣(Ar)加入混合物中。如此確保進入腔室之前為均勻的氣體混合物。在一個實施例中,在完成SiOxNyCz:Hw膜的形成之前可以關閉H和N氣體,以免毒化將在頂部上形成的光阻層。 在一個實例中,提供20% O2/60% N2/20% Ar的氣流混合物比率到腔室的處理區域,腔室被保持在3.5毫托下,同時遞送3kW的脈衝直流功率到靶材。然而,在一些情況下,可以將氣流混合物提供到腔室的處理區域,同時遞送DC、脈衝DC、RF及/或脈衝RF功率到靶材。
示例性的物理氣相沉積(PVD)製程腔室300可以 是群集工具的一部分。第7圖圖示適用於在基板上形成和蝕刻多圖案化硬光罩的示例性群集工具700。群集工具700之特色為至少一個如上所述的物理氣相沉積(PVD)腔室300。群集工具700的實例為可向加州聖克拉拉市的應用材料公司取得的Endura®系統。也可以使用由其他公司製造的群集工具。
群集工具700可以包括一或更多個負載鎖定腔室 706A、706B,用於移送基板進出群集工具700。通常情況下,由於群集工具700是處在真空下,負載鎖定腔室706A、706B可以將引入群集工具700的基板「抽空」。第一機器人710可以在負載鎖定腔室706A、706B和第一組的一或更多個基板處理腔室712、714、716、718(圖示出4個腔室)之間移送基板。每個處理腔室712、714、716、718可以被裝配來執行數 個基板處理操作,該等基板處理操作包括除了週期性層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、諸如PVD腔室300的物理氣相沉積(PVD)、預清洗、除氣、轉向及其它的基板製程之外還包括本文所述的蝕刻製程。
第一機器人710還可以將基板移送進/出一或更多個 中間移送室722、724,中間移送室722、724可以被用來保持超高真空條件,同時允許在群集工具700內移送基板。第二機器人730可以在中間移送室722、724和第二組的一或更多個處理腔室732、734、736、738之間移送基板。與處理腔室712、714、716、718類似,處理腔室732、734、736、738可以被裝配來執行各種基板處理操作,該等基板處理操作除了例如週期性層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、預清洗、熱製程/除氣,及轉向之外還包括本文所述的蝕刻製程。若對於由群集工具700執行的特定製程是不必要的話,可以從群集工具700移除任何的基板處理腔室712、714、716、718、732、734、736、738。
用於形成第4A-4M圖的結構的說明性多處理群集工 具700可以包括多達四個類似於上述PVD腔室300構設的PVD腔室732、734、736、738(在734和736之間可選擇第五腔室)。PVD或ALD腔室712或714可設以沉積薄的ARC/灰化層(例如AlN或SiN或TiN)。熱處理腔室716、718可以是能夠執行熱處理製程的,例如除氣製程。除氣製程可以去除在腔室的操作期間可能以其他方式除氣的潛在污染物。 在一個架構中,熱處理腔室716、718適用於在約200-300℃溫度及100毫托或範圍更低的壓力下完成除氣製程。此外,熱處理腔室716、718可以在群集工具700中進行處理之前預熱基板。
群集工具700可被用於執行在以上第2圖和以下第 4圖中描述的方法。在處理過程中,將被處理的基板可以在艙(未圖示)中到達群集工具700。基板被工廠界面機器人(未圖示)從艙移送到真空相容的負載鎖定室706A、706B。然後第一機器人710將基板移入除氣腔室716或718,以進行除氣和預熱。然後第一機械人710從除氣腔室716或718拾取基板並將基板載入中間移送室722,或選擇性地進入腔室712或714沉積ARC/灰化層(例如AlN層),然後進入中間移送室722。第二機器人730將基板從中間移送室722移入PVD腔室732、734、736或738。在PVD腔室732、734、736或738中可以在基板上形成硬光罩層(例如第2圖的硬光罩層205)。然後第二機械人730從PVD腔室732拾取基板,並將基板移送進入中間移送和冷卻室724。第一機器人710將基板移到負載鎖定室706B,使得隨後的微影操作可以在群集工具700外部的基板上進行。
在一些製程流中,讓含硬光罩層的基板在群集工具 700中進行進一步的處理可能是理想的,或更典型地是讓含硬光罩層的基板在類似於第7圖所圖示的群集工具構設的單獨群集工具中進行處理。在任一種情況下,含有圖案化阻劑的基板被放在負載鎖定室706A中。然後第一機器人710將基板 載入熱處理腔室716中。在熱處理腔室716中基板被曝露於除氣製程。然後第一機器人710從熱處理腔室712拾取基板,並且第一機器人710移送基板通過移送室724到達第二機器人730,並進入蝕刻腔室714進行硬光罩的蝕刻及之後進行基板的灰化。群集工具700可以將基板從蝕刻腔室714移到熱處理腔室716,以進行後續的除氣。該製程本身可以重複,直到在硬光罩層中形成完整的圖案,並將基板放在蝕刻腔室714中進行下層的蝕刻。
第4A圖至第4M圖圖示製造複數個交叉的溝槽492、492進入光可調諧的「記憶」或硬光罩層423的程序。第4A圖圖示的是下方的基板,硬光罩的圖案最終將會被蝕刻進入該下方的基板。在第4B圖中,諸如TiN ARC層422的抗反射塗層(ARC)被形成在基板421上。然後,如本文所述的光可調諧硬光罩層423被沉積在弧層422上,如第4C圖所示。在上面形成光學性質匹配硬光罩層的阻劑層424,例如藉由旋塗,以產出如第4D圖所圖示的結構。
現在參照第4E圖,在微影製程中使阻劑層通過遮罩(未圖示)在圖案中曝光之後,將阻劑層424顯影,留下阻劑層中具有特徵430的阻劑層424,特徵430之間由寬度或「關鍵尺寸」432的阻劑分隔。第4F圖圖示被其中具有顯影特徵430的阻劑覆蓋的基板421之頂視圖。之後,使基板421曝露於反應性離子蝕刻的環境中,其中選擇性蝕刻下方硬光罩材料的蝕刻氣體被引入並在電漿中被激發,而且基板或基板固持件被加偏壓來至少部分地往硬光罩層423表面的內側定向 地蝕刻開口440,以複製阻劑中顯影的圖案。如第4G圖所圖示,產生的結構具有由硬光罩層423的壁分隔的開口440,在曝光和顯影的過程中硬光罩層423的壁保持阻劑層424中形成的關鍵尺寸432。之後,剩餘部分覆蓋圖案化硬光罩層403的阻劑在O2或H2/O2遠端電漿中被剝除,以灰化(剝除)阻劑而不會明顯或意圖影響下方硬光罩層403的光學特性,從而產生第4H圖中圖示的輪廓。
現在參照第4I圖,部分蝕刻的硬光罩層上具有藉由 旋塗等形成的第二光阻層441,其中第二阻劑也與光調諧的硬光罩層403光學匹配。之後,如第4K圖所圖示,在阻劑層441中曝光並顯影溝槽特徵,其中溝槽特徵460正交於第一阻劑層441中的溝槽特徵430延伸。在第4J圖至第4L圖中,基板被相對於前面的圖旋轉90度。之後,通過阻劑層441將下方的硬光罩層423蝕刻出特徵以提供溝槽480,如第4L圖和第4M圖所圖示。
藉由使用光可調諧的硬光罩,可以在相同的硬光罩 層上以連續的圖案化步驟藉由僅剝除使用的阻劑、清洗表面及施加新的阻劑來形成多個近奈米的圖案(第4圖的溝槽),從而明顯減少時間、成本及多圖案化硬光罩的複雜性。
雖然在此揭示內容中的說明係關於將硬光罩的折射 率(n)和消光係數(K)與光阻匹配的方法,但用於匹配該等特性的相同手段也可以被應用到其它的材料層。舉例來說,沉積匹配材料可被視為用來作為ARC膜、鈍化膜或緩衝膜。PVD膜因PVD膜之高純度、高密度及低溫沉積性能而可 被區分。本發明的使用可以改變目前使用的圖案化材料和膜堆疊。因此,形成硬光罩的方法可被應用於各種進行微影操作的層及材料。
雖然前文係針對本發明的實施例,但在不偏離本發明的基本範圍下可以設計出本發明其他和進一步的實施例,而且本發明的範圍係由以下的申請專利範圍所決定:
200‧‧‧新循環
201‧‧‧低K材料
202‧‧‧TiN金屬硬光罩
203‧‧‧第一光學平坦化層
204‧‧‧灰化阻障
205‧‧‧硬光罩層
208‧‧‧光阻層
210‧‧‧快照
218‧‧‧孔
220‧‧‧第二快照
225‧‧‧開口
226‧‧‧共形聚合物側壁
230‧‧‧第三快照
235‧‧‧第一開口
240‧‧‧第四快照
245‧‧‧光阻層
248‧‧‧圖案
250‧‧‧第五快照
256‧‧‧共形聚合物側壁
260‧‧‧第六快照
265‧‧‧開口

Claims (25)

  1. 一種在一膜堆疊上形成一硬光罩的方法,該方法包含以下步驟:從位於一腔室中的一靶材濺射一含矽材料到一基板之一表面上;以及當從該靶材濺射該材料時,輸送一製程氣體之一流動,其中該製程氣體包含氧、氫和氮,及其中該製程氣體中的氧對氮之一比率經調整,使得在一意圖使用的微影曝光波長下,該濺射材料之一光學特性具有與一光阻層之一光學特性實質上類似的值,該光阻層將被配置於該濺射材料之一表面上。
  2. 如請求項1所述之方法,其中該製程氣體進一步包含碳。
  3. 如請求項1所述之方法,其中在該意圖使用的微影曝光波長下的光學特性包括不同於在一圖案對齊波長下的光學特性的一折射率和一消光係數。
  4. 如請求項1所述之方法,其中該濺射材料包含矽、氮、氧及氫,其中在該濺射材料之表面的一氫濃度係小於貫穿該濺射材料之一厚度的一平均氫濃度,或在該濺射材料之表面的一氮濃度係小於貫穿該濺射材料之該厚度的一平均氮濃度。
  5. 一種在一膜堆疊上形成一硬光罩的方法,該方法包含以下步驟:從位於一腔室中的一靶材濺射一含矽材料到一基板之一表面上;當從該靶材濺射該材料時,輸送一製程氣體之一流動,其中該製程氣體包含氧和氮,及其中該製程氣體中的氧對氮之一比率經調整,使得在一意圖使用的微影曝光波長下,該濺射材料之一光學特性具有與一光阻層之一光學特性實質上類似的值,該光阻層將被配置於該濺射材料之一表面上;在該基板之該表面上產生一電漿,以離子化一第一氣體;以及之後對耦接至該腔室之一部分的一電極加偏壓,以使該離子化的第一氣體轟擊該基板之該表面。
  6. 如請求項5所述之方法,其中對該電極加偏壓之步驟係在濺射該材料之步驟之後進行。
  7. 如請求項5所述之方法,其中對該電極加偏壓之步驟增加該基板之該表面的一疏水性。
  8. 一種在一膜堆疊上形成一硬光罩的方法,該方法包含以下步驟:從位於一腔室中的一靶材濺射一含矽材料到一基板之一表面上; 當從該靶材濺射該材料時,輸送一製程氣體之一流動,其中該製程氣體包含氧和氮,及其中該製程氣體中的氧對氮之一比率經調整,使得在一意圖使用的微影曝光波長下,該濺射材料之一光學特性具有與一光阻層之一光學特性實質上類似的值,該光阻層將被配置於該濺射材料之一表面上;以及直接在該濺射材料之該表面上沉積該光阻層。
  9. 如請求項8所述之方法,其中該光學特性包括一折射率和一消光係數,而且該沉積的光阻層在一193nm的波長下具有介於1.5和1.8之間的該折射率及介於0.00和0.12之間的該消光係數。
  10. 如請求項8所述之方法,其中該光阻層包含一第一光阻層,進一步包含以下步驟:使用該第一光阻層圖案化該濺射材料;直接在該圖案化濺射材料之一表面上沉積一第二光阻層,其中該第二光阻層之一光學特性在該第二光阻層之微影曝光波長下,具有一與該圖案化濺射材料之該光學特性實質上相等的值;以及使用該第二光阻層圖案化該濺射材料。
  11. 如請求項8所述之方法,進一步包含以下步驟:在該光阻層上進行一微影操作,以在該光阻層中形成一 圖案;在該濺射材料中蝕刻該形成的圖案;以及去除該光阻層,其中去除該光阻層包含使用一遠端O2電漿或一遠端H2/N2電漿灰化該光阻層。
  12. 如請求項8所述之方法,其中該濺射材料與該光阻層在該意圖使用的微影曝光波長下具有一相等折射率和一相等消光係數。
  13. 一種在一膜堆疊上形成一硬光罩的方法,該方法包含以下步驟:從位於一腔室中的一靶材濺射一含矽材料到一基板之一表面上;以及當從該靶材濺射該材料時,輸送一製程氣體之一流動,其中該製程氣體包含氧和氮,及其中該製程氣體中的氧對氮之一比率經調整,使得在一意圖使用的微影曝光波長下,該濺射材料之多個光學特性具有與一光阻層之多個光學特性實質上類似的值,該光阻層將被配置於該濺射材料之一表面上。
  14. 如請求項13所述之方法,其中該等光學特性包括一折射率與一消光係數。
  15. 如請求項14所述之方法,其中該曝光波長為193nm。
  16. 如請求項13所述之方法,其中該製程氣體進一步包含碳。
  17. 如請求項14所述之方法,其中在一圖案對齊波長下,該濺射材料的該等光學特性實質上不同於該光阻層之該等光學特性。
  18. 如請求項13所述之方法,其中該濺射材料包含矽、氮、氧及氫,其中在該濺射材料之表面的一氫濃度係小於貫穿該濺射材料之一厚度的一平均氫濃度,或在該濺射材料之表面的一氮濃度係小於貫穿該濺射材料之該厚度的一平均氮濃度。
  19. 如請求項13所述之方法,進一步包含以下步驟:對耦接至該腔室之一部分的一電極加偏壓,以增加該基板之該表面的疏水性。
  20. 如請求項13所述之方法,進一步包含以下步驟:直接在該濺射材料之表面上沉積該光阻層。
  21. 如請求項20所述之方法,其中該光學特性包括一折射率和一消光係數,而且該沉積的光阻層在一193nm的波長下具有介於1.5和1.8之間的該折射率及介於0.00和0.12之間的該消光係數。
  22. 如請求項13所述之方法,進一步包含以下步驟:直接在該濺射材料之表面上沉積該光阻層,其中該光阻層包含一第一光阻層;使用該第一光阻層圖案化該濺射材料;直接在該圖案化濺射材料之一表面上沉積一第二光阻層,其中該第二光阻層之一光學特性在該第二光阻層之微影曝光波長下,具有一與該圖案化濺射材料之該光學特性實質上相等的值;以及使用該第二光阻層圖案化該濺射材料。
  23. 如請求項13所述之方法,進一步包含以下步驟:直接在該濺射材料之表面上沉積該光阻層;在該光阻層上進行一微影操作,以在該光阻層中形成一圖案;在該濺射材料中蝕刻該形成的圖案;以及去除該光阻層,其中去除該光阻層包含使用一遠端O2電漿或一遠端H2/N2電漿灰化該光阻層。
  24. 一種在一膜堆疊上形成一硬光罩的方法,該方法包含以下步驟:從位於一腔室中的一靶材濺射一含矽材料到一基板之一表面上;以及當從該靶材濺射該材料時,輸送一製程氣體之一流動, 其中該製程氣體包含氧和氮,及其中該製程氣體中的氧對氮之一比率經調整,使得在一意圖使用的微影曝光波長下,該濺射材料之多個光學特性具有與一光阻層之多個光學特性實質上類似的值,該光阻層將被配置於該濺射材料之一表面上,其中該製程氣體包含選自由以下所組成之一群組的一氣體:氬、氦、氖、氪、氙、氮、形成氣體(N2+H2)、氨、氧、氫、水、與包含碳的一氣體。
  25. 如請求項24所述之方法,其中該製程氣體包含甲烷(CH4)、一氧化碳(CO)或二氧化碳(CO2)。
TW103115847A 2013-05-03 2014-05-02 在膜堆疊上形成硬光罩的方法 TWI606294B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361819334P 2013-05-03 2013-05-03

Publications (2)

Publication Number Publication Date
TW201447475A TW201447475A (zh) 2014-12-16
TWI606294B true TWI606294B (zh) 2017-11-21

Family

ID=51841026

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103115847A TWI606294B (zh) 2013-05-03 2014-05-02 在膜堆疊上形成硬光罩的方法

Country Status (6)

Country Link
US (2) US9177796B2 (zh)
JP (1) JP6603654B2 (zh)
KR (1) KR101821304B1 (zh)
CN (2) CN106169415B (zh)
TW (1) TWI606294B (zh)
WO (1) WO2014179694A1 (zh)

Families Citing this family (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9929310B2 (en) 2013-03-14 2018-03-27 Applied Materials, Inc. Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
US9412619B2 (en) * 2014-08-12 2016-08-09 Applied Materials, Inc. Method of outgassing a mask material deposited over a workpiece in a process tool
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI676860B (zh) * 2015-04-09 2019-11-11 日商王子控股股份有限公司 具遮罩之基板,以及具凹凸構造之基板的製造方法
CN106298666A (zh) * 2015-05-25 2017-01-04 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9793169B1 (en) * 2016-06-07 2017-10-17 Globalfoundries Inc. Methods for forming mask layers using a flowable carbon-containing silicon dioxide material
US9882048B2 (en) 2016-06-30 2018-01-30 International Business Machines Corporation Gate cut on a vertical field effect transistor with a defined-width inorganic mask
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11313034B2 (en) 2016-11-18 2022-04-26 Applied Materials, Inc. Methods for depositing amorphous silicon layers or silicon oxycarbide layers via physical vapor deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10790244B2 (en) 2017-09-29 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10147638B1 (en) * 2017-12-29 2018-12-04 Micron Technology, Inc. Methods of forming staircase structures
US10366917B2 (en) * 2018-01-04 2019-07-30 Globalfoundries Inc. Methods of patterning variable width metallization lines
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN111727490A (zh) * 2018-03-02 2020-09-29 东京毅力科创株式会社 用于将图案转移到层的方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
JP7109996B2 (ja) * 2018-05-30 2022-08-01 Hoya株式会社 マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10916427B2 (en) * 2018-07-11 2021-02-09 United Microelectronics Corp. Forming contact holes using litho-etch-litho-etch approach
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US20200219766A1 (en) * 2018-12-13 2020-07-09 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Semiconductor structure and method for fabricating the same
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11114299B2 (en) * 2019-07-05 2021-09-07 Applied Materials, Inc. Techniques for reducing tip to tip shorting and critical dimension variation during nanoscale patterning
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7374308B2 (ja) * 2019-09-20 2023-11-06 アプライド マテリアルズ インコーポレイテッド 誘電体材料を堆積する方法及び装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60114811A (ja) * 1983-11-28 1985-06-21 Hitachi Ltd 光導波路およびその製造方法
JPH028852A (ja) * 1988-06-28 1990-01-12 Fujitsu Ltd パターニング方法
JPH0566108A (ja) * 1991-09-09 1993-03-19 Matsushita Electric Ind Co Ltd 位置合わせ装置
US5935735A (en) * 1996-10-24 1999-08-10 Toppan Printing Co., Ltd. Halftone phase shift mask, blank for the same, and methods of manufacturing these
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
MY132894A (en) * 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
JP2000058830A (ja) * 1998-05-28 2000-02-25 Texas Instr Inc <Ti> 反射防止構造体とその製造法
US6620745B2 (en) * 2001-10-19 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a blocking layer
US6790770B2 (en) * 2001-11-08 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing photoresist poisoning
JP2003262750A (ja) * 2002-03-07 2003-09-19 Nippon Telegr & Teleph Corp <Ntt> SiON薄膜の製造方法
CN1211840C (zh) * 2002-07-19 2005-07-20 上海华虹(集团)有限公司 一种抗反射膜SiON表面CH4等离子体处理方法
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US20070119813A1 (en) * 2005-11-28 2007-05-31 Texas Instruments Incorporated Gate patterning method for semiconductor processing
KR100948770B1 (ko) * 2008-06-27 2010-03-24 주식회사 에스앤에스텍 블랭크 마스크, 포토마스크 및 이의 제조 방법
CN102203907B (zh) * 2008-10-30 2014-03-26 旭硝子株式会社 Euv光刻用反射型掩模基板
US8896120B2 (en) * 2010-04-27 2014-11-25 International Business Machines Corporation Structures and methods for air gap integration
US8148232B2 (en) * 2010-08-11 2012-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Overlay mark enhancement feature
JP5606264B2 (ja) * 2010-10-22 2014-10-15 信越化学工業株式会社 フォトマスクブランク

Also Published As

Publication number Publication date
CN106169415B (zh) 2020-02-14
JP2016525788A (ja) 2016-08-25
CN105190840A (zh) 2015-12-23
WO2014179694A1 (en) 2014-11-06
KR101821304B1 (ko) 2018-01-23
CN105190840B (zh) 2018-10-12
US9177796B2 (en) 2015-11-03
KR20160004381A (ko) 2016-01-12
US9478421B2 (en) 2016-10-25
US20160042951A1 (en) 2016-02-11
US20140327117A1 (en) 2014-11-06
TW201447475A (zh) 2014-12-16
JP6603654B2 (ja) 2019-11-06
CN106169415A (zh) 2016-11-30

Similar Documents

Publication Publication Date Title
TWI606294B (zh) 在膜堆疊上形成硬光罩的方法
TWI725177B (zh) 使用原子層蝕刻與選擇性沉積以蝕刻基板
JP5449642B2 (ja) デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法
US9911607B2 (en) Method of processing target object
US5302240A (en) Method of manufacturing semiconductor device
TWI326796B (en) Cluster tool and method for process integration in manufacturing of a photomask
TWI396234B (zh) A plasma oxidation treatment method and a manufacturing method of a semiconductor device
US6900002B1 (en) Antireflective bi-layer hardmask including a densified amorphous carbon layer
TW477007B (en) Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
JP2011040757A (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
TW201626434A (zh) 被處理體之處理方法
TWI791328B (zh) 半導體基板上形成像素隔離結構的方法及半導體結構
US20070107749A1 (en) Process chamber cleaning method
US20100270654A1 (en) Semiconductor device and method for manufacturing the same, dry-etching process, method for making electrical connections, and etching apparatus
US20090102025A1 (en) Semiconductor device and method for manufacturing the same, dry-etching process, method for making electrical connections, and etching apparatus
JP2009238868A (ja) マスクパターンの形成方法
US10685849B1 (en) Damage free metal conductor formation
KR20220044085A (ko) 금속 함유 레지스트 층을 위한 인시츄 퇴적 및 고밀화 처리
Pargon et al. Characterization of resist-trimming processes by quasi in situ x-ray photoelectron spectroscopy
Yost et al. Dry etching of amorphous-Si gates for deep sub-100 nm silicon-on-insulator complementary metal–oxide semiconductor
Wu et al. Study of Ti/TiN bump defect formation mechanism and elimination by etch process optimization
JP2005327873A (ja) 半導体装置及びその製造方法
KR100766274B1 (ko) 금속 배선 형성 방법
KR20080062181A (ko) 반도체 장치의 형성방법