JP6603654B2 - フィルムスタック上にハードマスクを形成するための方法 - Google Patents

フィルムスタック上にハードマスクを形成するための方法

Info

Publication number
JP6603654B2
JP6603654B2 JP2016512971A JP2016512971A JP6603654B2 JP 6603654 B2 JP6603654 B2 JP 6603654B2 JP 2016512971 A JP2016512971 A JP 2016512971A JP 2016512971 A JP2016512971 A JP 2016512971A JP 6603654 B2 JP6603654 B2 JP 6603654B2
Authority
JP
Japan
Prior art keywords
photoresist layer
layer
sputtered material
hard mask
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016512971A
Other languages
English (en)
Other versions
JP2016525788A5 (ja
JP2016525788A (ja
Inventor
クリストファー デニス ベンチャー
ダニエル リー ディール
フイシオン ダイ
ヨン ツァオ
ティンジュン シュー
ウェイミン (ウィルソン) ゼン
ペン シエ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016525788A publication Critical patent/JP2016525788A/ja
Publication of JP2016525788A5 publication Critical patent/JP2016525788A5/ja
Application granted granted Critical
Publication of JP6603654B2 publication Critical patent/JP6603654B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0042Controlling partial pressure or flow rate of reactive or inert gases with feedback of measurements
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/351Sputtering by application of a magnetic field, e.g. magnetron sputtering using a magnetic field in close vicinity to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Fluid Mechanics (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Formation Of Insulating Films (AREA)

Description

本実施形態は、本明細書において、一般的に、リソグラフィ的なマルチパターン形成製造プロセスで使用するハードマスクを形成するための、製造プロセスに関する。
サブミクロンおよびより小さい特徴を確実に生成することは、半導体デバイスの超大規模集積(VLSI)および極超大規模集積(ULSI)の主要な要件の1つである。しかし、回路技術が絶えず小型化することで、相互接続などの回路の特徴のサイズおよびピッチの寸法は、処理能力のさらなる需要をもたらした。この技術の中心に位置するマルチレベル相互接続は、バイアおよび他の相互接続などの高アスペクト比の特徴の、正確な結像および配置を必要とする。これらの相互接続の確実な形成は、デバイスおよび相互接続密度をさらに増加させるために重要である。さらに、サブミクロンサイズの特徴および相互接続を、レジストおよびハードマスク材料などの中間材料の無駄を減らして形成することが求められる。
回路密度が次世代デバイスのために増加するにつれて、バイア、トレンチ、接点、デバイス、ゲートおよび他の特徴、ならびにそれらの間の誘電体材料などの相互接続の幅またはピッチは、45nmおよび32nmの寸法に減少している。デバイススケーリングがリソグラフィスキャナの解像度限界のさらに下へと拡張されるにつれて、今日の集積デバイスの特徴密度要件への合致を可能にするために、マルチパターン形成が採用された。マルチパターン形成は、複数のステップで最終的にフィルム層をパターン形成するため、いくつかのレジストコーティング、リソグラフィ的なパターン形成、およびエッチング操作を実施するプロセスである。これらが組み合わされると、パターン操作を重ね合わせることによって、特徴を下にあるハードマスク層に形成し、これは、完全にパターン形成されたときに、下にある層をパターン形成するため、または注入または拡散マスクとしての機能を果たすために使用することができる。
下にあるハードマスク層の単純な非マルチパターン形成の期間中に、露光に使用される現在の「紫外光」波長は、レジストと従来型ハードマスク層のパターン形成されない界面から反射することになり、下にある以前に形成された特徴からも反射する場合があり、結果として、レジスト中の露光され現像される特徴の側壁およびサイズの精度に影響を及ぼすことになる。これを正すため、光学的近接補正(OPC:optical proximity correction)をリソグラフマスクに採用することができ、このことによって、レジスト露光波長がレジストに到達する位置の意図的な歪みをもたらし、実際に形成される現像された特徴が所望の特徴のサイズおよび形状に合致する結果となる。しかし、より小さい幾何形状および提供される露光の紫外電磁エネルギーの反射で、OPCは、追加の処理なしに歪み効果を保持(cure)することができない。
複数のパターン形成を可能にする1つの手段は、メモリ層または記憶層と呼ばれることがある以前にマスクされたハードマスク層に、露光波長が浸透するのを阻止するため、光学的に不透明なフィルムを使用することであった。メモリ層の機能は、例えば、誘電体材料であってよく、または例えば、別の目的のためのマスクであってよい、その下の層にパターンをエッチングするためのハードマスクとしての機能を果たすことである。メモリ層を複数回パターン形成するために、最も上にレジスト層を有する、3重層方式が各パターンステップで使用される。3重層は、リソグラフィのレジスト露光波長がメモリ層の表面に到達することを防止するのに十分な不透明度を有し、したがって、レジスト中の区域の意図的でない露光をもたらすことになる、以前に形成されたハードマスクの特徴からレジストに戻るような露光電磁エネルギーの反射を防止する。マルチパターン形成方式の各パターン形成ステップ後に、3重層は、湿式および/またはガスベースの化学物質で除去されねばならず、ウエハおよびメモリ層は、湿式で洗浄され乾燥されねばならず、新しい3重層がそこに付着され、その後、マルチパターンの次のパターンをメモリ層の中に形成することができる。
解像度、焦点深度、およびリソグラフィの欠陥敏感性の点で、マルチパターン形成の利点が理解される一方で、プロセスの予算を制御し、歩留まりを増加および維持するさらなる要望がある。
したがって、基板上にマルチパターン形成されたハードマスクを、リソグラフィ的に作るための改善された方法が必要である。
本明細書の実施形態は、光学的に不透明な材料または3重層スタックを繰り返しアッシングおよび堆積する必要がなく、ハードマスク上に次のパターン形成ステップを実施するために、レジストだけが、除去され、基板が洗浄された後で再び付着される必要がある、メモリ層またはハードマスク層のマルチパターン形成を実施するための装置および方法を提供する。一態様では、これは、リソグラフィ露光ステップの波長においてレジストの光学的性質と一致、または非常に近く一致するように光学的に調整される薄膜をハードマスク層として付着し、それにより、レジスト−ハードマスク層界面において反射を発生させないハードマスク層を提供することにより達成される。一実施形態では、メモリ層は、PVD堆積された酸化ケイ素もしくはシリコンに富んだ酸化物、またはPVD SiNもしくはシリコンに富んだSiN、またはSiCもしくはシリコンに富んだSiC、または変形形態を含む上記の組合せであって、化合物の中に水素の制御されたドーピングを含み、これまでは、SiOxyz:Hwと呼ばれ、ここで、w、x、y、およびzは、互いに対して0%から100%で、濃度が変化することができる。SiOxyz:Hw層などのメモリ層は、その上に形成されパターン形成されることになるフォトレジストの、露光波長(典型的には、先進的なリソグラフィで193nm)における、光学特性に実質的に類似またはほぼ一致する光学特性を有する。したがって、フォトレジストとメモリ(ハードマスク)層の界面は、露光波長に対して光学的に「不可視」である。このことが、中間材料層を付着、パターン形成、および除去する必要なく、ハードマスクのリソおよびエッチングの複数のシーケンスを可能にし、一方、露光されたフォトレジストは、所望の露光パターンからの光学的トポグラフィまたは反射率が誘起した変動を本質的に持たない。結果として、各後続のリソグラフィ露光は、同じまたはほぼ同じ反射率を経験して、複雑な光学的近接補正を実施し、複数の複雑な3重層を付着し、その後の3重層のリソ、エッチング、および除去をする必要をなくした。
本明細書の実施形態は、ポンピングシステムおよびチャンバ冷却システム、全面エロージョンマグネトロンカソード、プロセスキットおよびガス流設計、静電チャック(ESC)、パルスDC電力供給装置、ドープされたシリコンターゲット、ならびにHおよび/またはOおよび/またはNおよび/またはC含有ガス供給を含む、光学的に一致したハードマスクを形成するためのハードウェアを含む。
いくつかの実施形態では、ハードウェアは、具体的に求められるレジストに対する、光学的に一致した、SiOxyz:Hw層の形成を可能にするように構成される。SiOxyz:Hwフィルムの屈折率(n)および吸光係数(K)は、層をエッチングするために使用されるレジストに対するフィルムの光学特性に一致するように、ガス流およびその結果のフィルムのw、x、y、およびz値を調節することにより調整可能である。
本開示の実施形態は、フィルムスタック上にハードマスクを形成するための方法を提供することができ、方法は、チャンバの中に配設されたターゲットからシリコンを含む材料を基板の表面上にスパッタするステップと、ターゲットからシリコンを含む材料をスパッタするステップの間、プロセスガスの流れを送達するステップであって、プロセスガスが酸素および窒素を含むステップとを含み、スパッタされた材料の光学特性が、スパッタされた材料の表面上に配設されることになるフォトレジスト層の光学特性に、意図されるリソグラフィ露光波長において実質的に等価な値を持つように、プロセスガス中の酸素と窒素の比が調節される。
本開示の実施形態は、ハードマスク層をさらに提供することができ、ハードマスク層は、基板の表面上に配設されるSiOxy層を備え、SiOxy層のシリコン、酸素、および窒素含有量は、SiOxy層の屈折率(n)が、その上に形成されることになるレジスト層の屈折率(n)に実質的に等しいように調節され、屈折率は、意図されるリソグラフィ露光波長において測定される。SiOxy層は、その上に形成されることになるレジスト層の吸光係数に実質的に等しい吸光係数も有することができる。
本明細書の実施形態の上述の特徴が達成される様式を詳細に理解することができるように、添付図面に図示される本発明の実施形態を参照することによって、上に簡単に要約された、本発明のより詳細な記載が分かることができる。
3重層エッチングを使用してハードマスク層をマルチパターン形成するための、従来型サイクルを描く図である。 単一層のエッチングを使用してハードマスク層をマルチパターン形成するための、本明細書の実施形態を描く図である。 ハードマスク層を形成することが可能なプロセスチャンバの1つの実施形態を描く、横断面図である。 単一層のエッチングを使用してハードマスク層をマルチパターン形成するための、プロセスフローを描く図である。 インシトゥおよびリモートのプラズマ活性化O2を用いた、ハードマスク層へのアッシングからの変化を描く図である。 インシトゥおよびリモートのプラズマ活性化H2/N2を用いた、ハードマスク層へのアッシングからの変化を描く図である。 基板上にマルチパターン形成されたハードマスクをリソグラフィ的に作るのに好適な、例示的なクラスタツール700を図示する図である。
本実施形態を理解しやすくするために、可能な場合は、図に共通な同一の要素を指定するため、同一の参照番号が使用されている。一実施形態の要素および特徴は、さらなる記述なしに、他の実施形態に有利に組み込まれ得ることが意図される。
しかし、他の同様に有効な実施形態を本発明が容認することができるので、添付の図面は、単に例示的な実施形態を図示しており、したがってその範囲を限定すると考えるべきでないことに留意されたい。
いくつかの実施形態では、上にあるフォトレジスト層の光学特性に対して、実質的に同様の光学特性を有するハードマスク層が提供される。ハードマスク層の光学特性は、フォトレジストのリソグラフィ波長における光の内部反射および屈折が発生せず、または露光されるフォトレジストの特徴の精度の劣化が発生しない程度に最小化されるようなものである。ハードマスク層は、ハードマスク層上にフォトレジストを形成するステップと、フォトレジストを露光するステップと、フォトレジストからハードマスク層に現像されたパターンを転写するステップと、フォトレジストをハードマスク層から取り除くためにフォトレジストを除去/アッシングするステップと、その上に直接フォトレジストの別の層を受け入れるためハードマスク層を洗浄および乾燥するステップとを繰り返すことによって、複数回パターン形成されるように提供される。
メモリ層とも呼ばれるハードマスク層は、ウエハなどの半導体層上に直接配置され、基板の中へのイオン注入またはドーパントの拡散のためにその上にマスクを提供することができ、相互接続、ならびに基板表面の上に形成することができるレイズドゲート、コンデンサなどのためのフィルム層などの他の特徴およびデバイスの形成のために、堆積されたフィルム層の中の開口の形成でも使用することができる。
本明細書に具体的に記載される実施形態は、高アスペクト比および/または小さい寸法をそこに有する特徴を含む金属の形成のために、誘電体相互接続材料をパターン形成およびエッチングするために最終的に使用されることになる、マルチパターン形成されたハードマスクを最終的に形成するための方法を開示する。本明細書で議論される、高アスペクト比の特徴とは、4:1を上回るアスペクト比を有するサブミクロン構造のことを言い、小さい寸法とは、約55nmよりも小さい寸法を有するサブミクロン構造のことを言う。堆積プロセスは、処理期間中に処理チャンバの中に少なくとも不活性ガスを供給するステップを含むことができる。堆積プロセス期間中に、ガス混合物中に供給される不活性ガスのガス比率および分圧を調節することにより、基板表面にわたって形成される、良好な形状制御およびフィルム均一性を獲得することができる。
本明細書の実施形態は、物理的気相堆積、以降はPVDの、酸化ケイ素もしくはシリコンに富んだ酸化物、またはPVD SiNもしくはシリコンに富んだSiN、またはSiCもしくはシリコンに富んだSiC、または化合物の中に水素の制御されたドーピングを含み、これまでは、SiOxyz:Hwと呼ばれ、ここで、w、x、y、およびzは、0%から100%で、濃度が変化することができる変形形態を含む上記の組合せを形成するための方法を提供する。SiOxyz:Hw層は、本明細書でSiONCフィルム層と広く呼ばれることがある、SiOxyz:Hwフィルム層をエッチングするためにその上に付着され使用されることになるフォトレジストに、露光波長(先進的なリソグラフィで193nm)において、十分一致する光学特性を有するハードマスクとして生成され、ハードマスクをフォトレジストから光学的に区別できなくする。いくつかの構成では、SiOxyz:Hw層は、望ましい光学特性を有する、SiOxz:Hw層、SiOxy:Hw層、またはSiOxyz:Hw層を含むことができる。ハードマスクとレジストの光学特性の一致によって、さらなるハードマスクパターン形成のために、ハードマスクの直上の、リソ、エッチング、レジスト除去、およびレジストの再付着の複数のシーケンスを可能にし、一方レジストは、例えば、意味のある光学的トポグラフィまたはレジストの反射率の変動がなく、本質的に「光学的に平坦化」されたままである。これは、後続のリソグラフィ露光が、同じまたは実質的に同じ反射率を経験することを可能にし、複雑な光学的近接補正をシミュレーションして実施する必要をなくす。さらに、単に単一のレジスト層がハードマスクの直上に付着される必要があるので、複数の複雑な3重層の、リソなどと除去のサイクルがなくされる。
図1は、その上に付着され、SiO2フィルム層をエッチングするために使用されることになる3重層フォトレジストを使用する、ハードマスク層をマルチパターン形成するための従来技術のサイクル100の例を描く。従来型サイクル100は、その処理が進行するときの、基板の同じ部分の描写である、図1のスナップショット110から160に描かれる。例では、2重ハードマスクエッチング層のパラダイムが示されており、パターン形成されることになる最終的な層、低誘電率層101は、第1のハードマスク102、第1の光学的平坦化層103、およびアッシングバリア104によりカバーされ、その上に記憶層105が形成される。具体的には、基板109は、その上にチタン窒化物(TiN)金属ハードマスク102が形成される低誘電率材料101、および第1の光学的平坦化層103を含み、アッシングバリア104がその上に形成される。記憶層105(ハードマスク層)は、アッシングバリア104の上面上に配設される。3重層116が、記憶層105の頂部上に配設される。3重層は、第2の光学的平坦化層106、およびシリコン含有反射防止層107、およびその上に形成されるフォトレジスト108をその順番で含む。従来技術では、記憶層は、化学気相堆積を使用して形成され、ここで、シリコンおよび酸素の前駆体が、典型的にはプラズマ環境で化合して、ハードマスクフィルムを形成する。
第1のスナップショット110では、リソグラフィが使用されてフォトレジスト108を露光し、パターンの特徴118がフォトレジスト108中に現像された。特徴118は、レジストのリソグラフィ的な露光および後続の現像によって、フォトレジスト108が取り除かれた区域を表す。
第2のスナップショット120では、エッチングプロセスが実施され、第2の光学的平坦化層106および記憶層105を通して第1の特徴125をエッチングする。エッチングプロセス期間中に、フォトレジスト108は、少なくとも部分的にエッチング除去され、シリコン反射防止層107、第2の光学的平坦化層106および記憶層105の露光された部分がエッチングされる。
第3のスナップショット130では、3重層116が、記憶層105からアッシングまたは他の方法で除去される。このことによって、層のスタックの上面上に、部分的にパターン形成された記憶層105が残る。さらに、第2のスナップショット120で示されたような、以前のエッチングプロセスの結果として、記憶層は、そこを通る開口135を有する。開口135は、記憶層105の中に作られる必要がある全パターンの、単なる一部である。しかし、作られたトポグラフィに起因して、記憶層105のさらなるリソグラフィは、記憶層105の再平坦化および光学的な分離を必要とする。したがって、別の3重層145が、記憶層105の頂部上に形成される。これは、第2の光学的平坦化層106およびシリコン反射防止層107の第3の付着と、その後に続く、その上のフォトレジスト108の付着とを堆積するステップを含む。
第4のスナップショット140では、新しく再形成された3重層145が、レジスト層108をリソグラフィ的に露光および現像した後でパターン形成されて、第2のパターン148を形成した。第2のパターン148は、第5のスナップショットで示される第2のエッチングで使用される。第2のエッチングステップは、再形成された3重層145および記憶層105の中の材料(漏斗形状の特徴または開口155として示される)を取り除く。第6のスナップショット160で示されるように、第2の除去プロセスの後、記憶層105の上の3重層の残部(145)が取り除かれる。記憶層105は、ここで、2つの形成された開口135および165を有する。
同じハードマスク層の各パターン形成ステップについて、前の3重層が基板から除去されなければならず、基板は、洗浄されなければならず、その後新しい3重層が付着されなければならない。図1に記載される操作は、6つの層(2つの3重層)を堆積し、第6のスナップショットに見られる開口135および165を形成するときのリソグラフィ操作のため、記憶層105への合焦を維持し記憶層105を光学的に分離するために、ウエハを平坦化または光学的に平らにすることを必要とする。以降で議論される実施形態は、ハードマスク層をさらにパターン形成する後続のリソグラフィステップ期間中に、パターン形成されたハードマスク層を光学的に平坦化または分離する必要なしに、ハードマスク層の中に、複数のパターン形成ステップで複数の開口を作成するための装置および方法を提供する。図2は、本明細書の一実施形態にしたがう、光学的に一致したハードマスク層をマルチパターン形成するための、新しいサイクル200を描く。
図2に示されるように、新しいサイクル200は、それによって2つの開口235および265が2つの異なるリソグラフィステップでハードマスク層205の中に別個に形成される、基板の部分についてのスナップショット210から260を含む。例では、基板は、その上にTiN金属ハードマスク202を有する低誘電率材料201、および第1の光学的平坦化層203(底部層)、および反射防止コーティング/アッシングバリア204(中間層)を含む。「記憶」ハードマスク層205(そこの上に形成されるハードマスク層)は、ARC/アッシングバリア204の上面上に配設される。ARC/アッシングバリア204は、ハードマスク層205上で実施されるエッチングおよびPR除去のために、(λ=193nmにおける)反射防止特性およびエッチングストップおよびアッシングバリア特性の両方のための薄膜を備えることができる。ARC/アッシングバリア204は、Siベースの材料から形成することができる。ARC/アッシングバリア204は、窒化アルミニウム(AlN)、AlON、SiN、TiN、または反射防止特性、エッチングストップ特性、アッシングバリア特性を有する他の強固な材料から代替的に形成することができ、選択的に取り除くこともできる。例えば、ARC/アッシングバリア204は、基板の表面の上にAlN層を堆積することにより形成することができる。AlN層は、物理的気相堆積、化学気相堆積、原子層堆積、または他の好適な方法により形成することができる。ハードマスク層205の頂部上に配設されるのはフォトレジスト層208のみであり、そのため、フォトレジスト層208は、少なくとも部分的に、ハードマスク層205と直接接触する。
ハードマスク層205は、0%から100%の範囲である、w、x、y、およびzの様々な値を有する、SiOxyz:Hwフィルムであってよい。いくつかの場合では、SiON、SiOC、またはSiONCタイプのフィルムは、本明細書で使用される「:H」という命名法により表示されるように、水素(H)とともにドープすることができる。ハードマスク層205組成物は、典型的には193nmである、そのリソグラフィ的なパターン形成のための露光波長における、フォトレジスト層208のnおよび値に一致するように調整される。シリコンターゲットから物理的気相堆積により形成されたSiOxyフィルムは、露光波長193nmにおいて、1.5から2.5の間の範囲である屈折率nおよび約0から0.3の吸光係数Kを有する。SiOxyz:Hwフィルムの端は、その上に形成される次の層、すなわち、フォトレジスト層208の特性に影響を及ぼす可能性がある。したがって、いくつかの構成では、ハードマスク層205とフォトレジスト層208の界面、すなわち端領域において見いだされるハードマスク層205中の材料の組成物および/または特性を調節することが望ましい。ハードマスク層205とフォトレジスト層208の界面における、ハードマスク層205の端領域は、ほんの数オングストロームまたは数分子の層の厚さである可能性がある。1つの例では、堆積プロセスの終わりに近いステージの期間に、窒素および/または水素担持ガスの流れをオフにすることによって、界面領域中の水素(H)および/または窒素(N)濃度を調整することが望ましい場合があり、そのため、端領域中のHおよび/またはNの濃度は、形成された層の残りの中のHおよび/またはNの濃度よりも少なくとも少ない。1つの例では、ハードマスク層205の表面における水素の濃度は、堆積されたハードマスク層205の厚さにわたる平均水素濃度よりも低く、かつ/またはハードマスク層205の表面における窒素の濃度は、堆積されたハードマスク層205の厚さにわたる平均窒素濃度よりも低い。イオン化アルゴン(Ar)ガスなどのガス原子で堆積されたハードマスク層205の表面に衝撃を加えることを使用して、形成されたハードマスク層205の組成(例えば、Hおよび/またはN濃度)または結晶構造を変えることができる。衝撃プロセスは、プラズマ中のイオン化ガス原子が基板の表面に衝撃を加えるように、基板の表面の上方でプラズマを形成し、その後、基板または基板が載っている基板支持体にバイアスをかけることにより実施することができる。1つの構成では、衝撃プロセスは、フィルムの表面エネルギーおよび表面のぬれ角度特性を変化および/または調節するように、ハードマスク層205の形成の最後のステップとして実施される。したがって、上に議論されたプロセスのうちの1つを使用することによって、ハードマスク層205の端領域および表面の特性を、表面が疎水性、または少なくとも均一な組成タイプのハードマスク層および/または「堆積されたままの」ハードマスク層よりも疎水性であるように、調節することができる。さらに、これらのプロセスのうちの少なくとも1つを使用することによって、ハードマスク層205は、その上に形成されるフォトレジスト層208を汚染しないように、不活性かつ安定にすることができる。フィルムの化学量論性が堆積温度にあまり依存しないので、低い温度の堆積が可能である。
さらに、堆積されたハードマスク層205の表面に衝撃を加えるイオンは、基板に対してバイアスを加えられて、その上にフォトレジスト層208を配設する前に基板の滑らかな表面を促進することができる。基板に対してイオンのバイアスが強いほど、基板表面がより高密度かつ滑らかになる。衝撃プロセスは、ハードマスク層205の表面を滑らかにするために使用することができ、そのため、表面は、フォトレジストにパターン形成するときリソグラフィ波長を散乱させる可能性がある小さい隆起または凹みなどの、かなりの粗さまたは巨視的な特徴を有さない。有利なことに、フォトレジスト層208中のパターンは、ハードマスク層205中の限界寸法をエッチングする前に、厳密に制御される。
いくつかの実施形態では、ハードマスク層205の特性が調節され、そのため、パターン形成シーケンスのリソグラフィ的なパターンフェーズのアライメント期間中に、ハードマスク層205中に形成された以前のアライメントマークまたはアライメントパターンが、典型的には530nmまたは630nmであるアライメント検査波長において、ハードマスク層205の上方に配設されるフォトレジスト層から区別可能にされる。ハードマスク層205の屈折率nまたは吸光係数Kは、アライメント波長(530nm/630nm)において、フォトレジスト層208と異なるが、それでもなお、リソグラフィの露光波長(193nm)において、同様であることができる。吸光係数は、波長に敏感な場合がある。したがって、ハードマスク層205は、水素(H)、ホウ素(B)、またはカリウム(K)でドープすることができる。所望の波長だけにおいて、ハードマスク層205の光学特性を調節するために、ドーパント原子をターゲットに加えることができ、または堆積プロセス期間中にプロセスガスを使用することができる。したがって、ハードマスク層205は、193nmのリソグラフィ波長において、屈折率nおよび吸光係数Kが実質的に一致するように調整することができるが、一方同時に、530nmまたは630nmのアライメント波長において、異なる屈折率nまたは吸光係数Kを有する。または、色素がハードマスク層295に加えられ、ハードマスク層205をフォトレジスト層245から、193nmのリソグラフィ波長において区別可能であるが、530nmまたは630nmのアライメント波長において区別可能でないようにすることができる。
本明細書に記載されるように、ハードマスクとレジストの光学特性は、ハードマスクが、その上の単一のレジストの層だけを使用して繰り返しパターン形成されることを可能にするのに十分類似する。光学的に平面にするために、ハードマスクとレジストの界面における反射率は、最大で1〜2%である必要がある。反射率は異なる機能であるので、2つの材料の界面において反射しないことを可能にするため、n&Kを一致させる必要があり、単にnを一致させることでは不十分である。フォトレジスト層208に対して一致したハードマスク層205について、n&Kは十分一致し、そのため、フレネル方程式が<2%の反射率を予測する。しかし、最も先進的なリソグラフィでは、フレネル方程式は、<0.5%の反射率を予測するべきである。ハードマスク層およびフォトレジストについてのn&Kの値の差異は、レジストに十分に一致したハードマスクの指標を提供する。フォトレジスト層208に十分に一致したハードマスク層205は、±0.01以内のK値および±0.01以内のn値を有する。
スパッタされたSi中に窒素または水素を組み込むため、スパッタリングチャンバ中に存在する酸素のレベルは、非常に低くなければならない。1/10のO2/N2比においてさえ、O2の「10%」値は、満足する、すなわち、PVD堆積されたシリコン中のダングリングSiボンド(結合部位)への窒素を排除して結合するのに十分である。例えば、シリコンダングリングボンド(結合部位)の100個の原子について、O2の100個の原子およびN2の10,000個の原子を導入し、O2の100個の原子が、N2を排除してSiダングリングボンドと結合することになる。こうして、窒素は、フィルム中に少しも組み込まれないことになる。したがって、フィルムのO2/N2比により屈折率nを調整することは、堆積プロセス期間中にO2ガス流を欠乏させることにより調整することができる。
図2の第1のスナップショットでは、193nm波長の「紫外光」(電磁エネルギー)が使用されて、開孔218を形成するように現像されるパターンをフォトレジスト層208上に露光する。リソグラフィツールは、そのような特徴を10億個同時に印刷することができる。しかし、チップがより高密度になるにつれて、チップ設計は、何十億個のさらなる特徴を必要とした。これを達成するために、リソグラフィツールは、単一の操作で、表面中または表面上に形成されることになる全特徴の一部だけを露光する。残りの特徴のパターンは、後続の操作期間中に、基板上でアライメントされる。残りの特徴は、この場合、後続の操作中に、層の上または層の中に形成される。こうして、30億個の特徴のリソグラフィ露光は、少なくとも3サイクルを必要とする可能性がある。
開孔218は、ハードマスク層205中に形成されることになるより大きい設計パターンの部分である。フォトレジスト層208中のパターン開孔218は、開孔218内のハードマスク層205の上面を露出する。ハードマスク層205は、フォトレジスト層208に一致、またはほぼ一致する屈折率(n)および吸光係数(K)を有する。フォトレジスト層208とハードマスク層205の光学特性nおよびKを一致またはほぼ一致させることによって、フォトレジスト層208とハードマスク層205の界面は、リソグラフィ波長の紫外光を反射もしくは屈折せず、または少なくとも最小限にのみ反射もしくは屈折し、こうして、界面は、リソグラフィ露光波長に対して不可視になる。結果として、フォトレジスト層208およびハードマスク層205が一緒になって、その界面において3次元の特徴が存在するにもかかわらず、下にあるハードマスクの各パターン形成ステップについて光学的平坦化層を作る必要なしに、193nmの紫外露光波長に対して光学的に平坦、または平坦化されて見える。一実施形態では、フォトレジスト層208およびハードマスク層205は、屈折率n=1.6および吸光係数K=0.05を有する。結果として、露光電磁エネルギーは、ハードマスク層205と上にあるフォトレジスト層208の物理的な界面において、反射または屈折しないことになる。
第2のスナップショット220では、光学的に共形のポリマー226が、フォトレジスト層208およびハードマスク層205の露出した表面上に堆積され、その上で開口225を作るためにエッチングプロセスが実施される。193nm波長のリソグラフィは、それがフォトレジスト層208中に形成することができる、トレンチなどの特徴のサイズ(幅)に事実上の限界を有する。共形のポリマーの堆積は、193nmリソグラフィ単独により可能であるよりも狭い特徴を可能にする。代替的に、リソグラフィ用により短い波長が利用されて、所望の幅のパターンを作ることができる。そのような事例では、共形のポリマーは、使用されないことになる。
第3のスナップショット230では、第1の開口235を形成するため下にあるハードマスク層205をエッチングした後で、共形のポリマー側壁226およびフォトレジスト層208は、ハードマスク層205についての屈折率(n)または吸光係数(K)値を著しく変えることなく、アッシング操作により取り除かれた。1つの実施形態では、リモートO2プラズマが使用されてフォトレジスト層208をアッシングする。代替的に、リモートプラズマ源中で活性化された後、H2およびN2など、水素含有ガスおよび窒素含有ガスの混合物が使用されて、フォトレジスト層208をアッシングしてもよい。開口235は、ハードマスク層205の中に作られる必要がある全パターンの、単なる一部を表す。
アッシングは、リモートプラズマ源またはインシトゥで実施することができる。複数のアッシング操作をサポートするため、ハードマスク層205についての屈折率(n)および吸光係数(K)値の変化は、最小でなくてはならない。図5は、インシトゥで形成されたO2プラズマ505およびリモートで形成されたO2プラズマ550を用いたアッシングについてのハードマスク層205の変化を描く。
インシトゥで形成されたO2プラズマ505の効果の3つの図式表現は、グラフ510では、層にわたる記憶層(すなわち、ハードマスク層またはハードマスク層)の厚さ、グラフ520では、層にわたる記憶層の屈折率、グラフ530では、層にわたる記憶層の吸光係数を描く。厚さ、屈折率、および吸光係数は、グラフ510から530について、y軸上にプロットされる。x軸に沿ってプロットされるのは、基板の中心から外側の縁への同心円に沿って配置されたサンプル位置における、49個の測定値である。測定は、アッシング前506およびアッシング後507に行われた。グラフ510に示されるように、記憶層の厚さは、アッシング前506からアッシング後507で変化する。グラフ520に示されるように、記憶層の屈折率は、アッシング前506からアッシング後507で変化する。また、グラフ530に示されるように、記憶層の吸光係数は、アッシング前506からアッシング後507で変化する。インシトゥで形成されたO2プラズマを使用するアッシングは、O2含有量が増加するにつれ、SiON中で、小さい厚さの変化および屈折率の最小の低下を示し、このことは、そこの酸化によって生じる可能性がある。
アッシング媒体としてリモートで形成されたO2プラズマ550の効果の3つの図式表現は、グラフ560では、層にわたる記憶の厚さ、グラフ570では、層にわたる記憶層の屈折率、グラフ580では、層にわたる記憶層の吸光係数を描く。この場合も、厚さ、屈折率、および吸光係数は、グラフ560から580について、y軸上にプロットされる。x軸に沿ってプロットされるのは、基板の中心から外側の縁への同心円に沿って配置されたサンプル位置における、49個の測定値である。グラフ560に示されるように、記憶層の厚さは、アッシング前506からアッシング後507で実質的に変化しない。グラフ570に示されるように、記憶層の屈折率は、アッシング前506からアッシング後507で実質的に変化しない。また、グラフ580に示されるように、記憶層の吸光係数は、アッシング前506からアッシング後507で実質的に変化しない。
図6は、インシトゥで形成された水素(H2)含有ガスおよび窒素(N2)含有ガスのプラズマ混合物(H2/N2650およびリモートで形成された水素(H2)含有ガスおよび窒素(N2)含有ガスのプラズマ混合物(H2/N2605を用いたアッシングについてのハードマスク層205の変化を描く。
アッシング媒体としてリモートで形成されたH2/N2プラズマ605の効果の3つの図式表現は、グラフ610では、層にわたる記憶の厚さ、グラフ620では、層にわたる記憶層の屈折率、グラフ630では、層にわたる記憶層の吸光係数を描き、図5と同じ様式である。厚さ、屈折率、および吸光係数は、グラフ610から630について、y軸上にプロットされる。x軸に沿ってプロットされるのは、基板の中心から外側の縁への同心円に沿って配置されたサンプル位置における、49個の測定値である。測定は、アッシング前606およびアッシング後607に行われた。グラフ610に示されるように、記憶層の厚さは、アッシング前606からアッシング後607で実質的に変化しない。グラフ620に示されるように、記憶層の屈折率は、アッシング前606からアッシング後607で実質的に変化しない。また、グラフ630に示されるように、記憶層の吸光係数は、アッシング前606からアッシング後607で実質的に変化しない。
インシトゥで形成されたH2/N2プラズマ605の効果の3つの図式表現は、グラフ660では、層にわたる記憶の厚さ、グラフ670では、層にわたる記憶層の屈折率、グラフ680では、層にわたる記憶層の吸光係数を描く。この場合も、厚さ、屈折率、および吸光係数は、グラフ660から680について、y軸上にプロットされる。x軸に沿ってプロットされるのは、基板の中心から外側の縁への同心円に沿って配置されたサンプル位置における、49個の測定値である。グラフ660に示されるように、記憶層の厚さは、アッシング前606からアッシング後607で変化する。グラフ670に示されるように、記憶層の屈折率は、アッシング前606からアッシング後607で変化する。また、グラフ680に示されるように、記憶層の吸光係数は、アッシング前606からアッシング後607で変化する。インシトゥで形成されたH2/N2プラズマを使用するアッシングは、吸光係数に一定量の増加を示した。
したがって、アッシング媒体として使用されるO2ガスまたはH2/N2ガスについて、記憶層(ハードマスク層)205からレジストをアッシングするためリモートでプラズマを形成することでは、インシトゥで形成されたプラズマを使用するよりも、ハードマスク層205の屈折率(n)および吸光係数(K)値に、生じさせる変化が著しく少ない。これは、光学的トポグラフィまたは反射率の変動の影響を受けることなく、ハードマスク中に特徴を形成するための、リソグラフィ、エッチング、およびレジストの除去の複数のシーケンスを可能にする。
再び図2を参照して、スナップショット240において、ハードマスク層205の第2のパターン形成を可能にするために、ハードマスク層205中の残りの開口をパターン形成するため、フォトレジスト245がハードマスク層205上に堆積および現像される。各リソグラフィ操作の前に、開口のパターンは、530nmまたは630nmの波長を使用して、ハードマスク層205中にエッチングされたパターンと基板上でアライメントされる。ハードマスク層205は、193nmのリソグラフィ波長において、フォトレジスト層245と一致する屈折率(n)および吸光係数(K)を有し、ハードマスク層205とフォトレジスト層245の界面は、露光電磁エネルギーに対して光学的に区別できない。しかし、ハードマスク層205は、約530nmまたは約630nmの波長において、フォトレジスト層245と異なる屈折率(n)および吸光係数(K)を有し、リソグラフィパターンのアライメントを可能にする。したがって、ハードマスク層205のnおよびKは、193nmの波長において、フォトレジスト245のnおよびKと区別できないが、一方530nmまたは630nmの波長において区別できる。これは、光学的に平坦化、または以前にエッチングされた特徴がリソグラフィ電磁エネルギーに露光されることから防ぐのに以前必要であったような、ハードマスク層205上へさらなる材料を堆積する必要をなくす。すなわち、図1に示されるような、第2の光学的平坦化層106およびシリコン反射防止層107を含む3重層の堆積は、もはや必要ない。さらに、193nmのリソグラフィ波長において、ハードマスク層205と上にあるレジストの間に、界面反射がない、または容認できる最小の界面反射があるので、以降のリソグラフィ操作中のトポロジを補正するための光学的近接補正は必要ない可能性があり、出願人は、光学的近接補正を使用することなく、光学的に一致したレジストと記憶層を用いてパターン形成を達成した。
第4のスナップショット240では、パターン248は、フォトレジスト245中に、リソグラフィ的に露光されて現像された。パターン248は、ハードマスク層205中に形成されることになるより大きい設計パターンの部分である。共形のポリマーが、エッチングプロセスの前に、フォトレジスト層208およびハードマスク層205の露出した表面上に堆積される。共形のポリマーの堆積は、ハードマスク層205のエッチング期間中に、代替的に行うことができる。
第5のスナップショット250では、ハードマスク層205中の開口265は、エッチング操作の後に示される。エッチング後に、共形のポリマーは、パターン248の側壁256上に見ることができる。第6のスナップショット260では、共形のポリマー側壁256およびフォトレジスト層245は、アッシング操作により取り除かれた。アッシング操作は、ハードマスク層205についての屈折率(n)または吸光係数(K)値を有意味に変えない様式で実施される。集積回路製造プロセスでは、基板は、複数回、リソグラフィ的に処理されてよい。しかし、同一のハードマスク層205上で実施される、エッチングおよびアッシングの複数のリソグラフィのサイクルは、ハードマスク層205の屈折率(n)または吸光係数(K)値を著しく変えない。結果として、開口235、265を形成するために、光学的平坦化層およびシリコン反射防止層を堆積し、光学的近接補正を使用する必要は否定される。
193nmの波長において、ハードマスクについてのn(屈折率)およびK値の両方をフォトレジストに一致させることを介して、ハードマスク、SiOxyZが形成される。別の実施形態では、ハードマスクは、SiO2である。この場合も、これは、193nmの波長においてフォトレジスト(ソフトマスク)のnおよびKと一致させるためにハードマスク中のnおよびKを調整し、一方、それらを530nmまたは630nmの波長において区別することを含む。SiONフィルム層を形成するために使用される従来技術の化学気相堆積(CVD)プロセスは、より高い温度を必要とし、シラン前駆体からフィルムの中に水素が組み込まれるので、より高いK値を有するフィルムを生み出す。さらに、従来技術のフィルム層は、典型的には、フォトレジストと干渉し、取り除かれる必要がある場合がある副産物、すなわち、スカムを生じる場合がある酸を形成する、N−Hまたはアミン結合を含む。水素は、Si−Hを形成し、これは、193nmの波長を吸収し、したがってK値を増加させる。これは、SOCフィルムのサーマルバジェットに合致するのに必要な低い堆積温度(典型的には、<200C)において、特に当てはまる。したがって、CVDを使用すると、SiON層の吸光係数(K)または電磁エネルギーの吸収が高くなりすぎる。出願人は、本明細書で、関連するレジストのnおよびK値に調整可能である、物理的気相堆積(PVD)形成されたSiOxyZフィルムまたは層を形成できることを開示する。さらに、ハードマスク層は、200Cより十分下で形成することができ、許容可能な光学的に調整されたハードマスク層が、反応性雰囲気中でシリコンをスパッタすることにより、約20から25Cで堆積された。
しかし、シリコンは、スパッタが難しい。通常、誘電体層をスパッタするとき、表面は、電荷を蓄積し、アークおよびターゲットの粒子の放出に至ることになる。パルスDCの使用によって、ターゲットの、スパッタのための負から、掃引または電荷を落とす(誘電体表面上の全ての電荷を中性化する)ための正に、速く切り換えることが可能になる。
図3は、スパッタ堆積する材料にとって好適な、例示的な物理的気相堆積(PVD)プロセスチャンバ300(例えば、スパッタプロセスチャンバ)を図示する。SiOxyzフィルム層を形成するのに適合することができるプロセスチャンバの1つの例は、カリフォルニア州Santa Claraにある、Applied Materials、Inc.から入手可能な、PVDプロセスチャンバである。他の製造業者からのものを含む他のスパッタプロセスチャンバを、本発明を実施するように適合できることが意図される。
プロセスチャンバ300は、その中に画定される処理容積318を有するチャンバ本体308を含む。チャンバ本体308は、側壁310および底部346を有する。チャンバ本体308およびプロセスチャンバ300の関連する構成要素の寸法は制限されず、一般的には、処理される基板390のサイズよりも、比例的に大きい。任意の好適な基板サイズを処理することができる。好適な基板サイズの例としては、200mm直径、300mm直径、または450mm直径を有する基板が挙げられる。
チャンバリッドアセンブリ304は、チャンバ本体308の頂部上に取り付けられる。チャンバ本体308は、アルミニウムまたは他の好適な材料から製造することができる。基板アクセスポート330は、チャンバ本体308の側壁310を貫通して形成され、プロセスチャンバ300の中へ、またプロセスチャンバ300から外へ基板390を移送するのを容易にする。アクセスポート330は、基板処理システムの移送チャンバおよび/または他のチャンバに結合することができる。
水蒸気発生(WVG)システム334は、プロセスチャンバ300中に画定される処理容積318に結合される。WVGシステム334は、O2とH2の触媒反応を用いて、超高純度水蒸気を生成する。代替的に、WVGシステム334は、必要に応じて、水(H2O)を水蒸気に直接蒸気化することにより、水蒸気を生成することもできる。WVGシステム334は、化学反応を用いて水蒸気が生成される、触媒で内側を覆ったリアクタまたは触媒カートリッジを有する。触媒としては、パラジウム、プラチナ、ニッケル、それらの組合せ、およびそれらの合金などの、金属または合金が挙げられる。水蒸気は、通常、H2およびO2をリアクタ中に流すことによって生成されるが、O2は、NO、N2O、NO2、N25、H22、またはO3などの別の酸素源化合物で、補足または代用することができる。一実施形態では、H2およびN2Oが、必要に応じて、水蒸気を形成するために利用される。超純水は、O2およびH2イオンを有するプラズマを形成するため、H2Oを処理容積318中に提供するのに理想的である。
ガス源328がチャンバ本体308に結合されて、処理容積318中にプロセスガスを供給する。一実施形態では、プロセスガスは、必要により、不活性ガス、非反応性ガス、および反応性ガスを含む場合がある。ガス源328により提供され得るプロセスガスの例としては、限定はしないが、とりわけ、アルゴンガス(Ar)、ヘリウム(He)、ネオンガス(Ne)、クリプトン(Kr)、キセノン(Xe)、窒素ガス(N2)、酸素ガス(O2)、水素ガス(H2)、H2O(WVGシステム334からの蒸気形態)、フォーミングガス(N2+H2)、アンモニア(NH3)、メタン(CH4)、一酸化炭素(CO)、および/または二酸化炭素(CO2)が挙げられる。
ポンピングポート350は、チャンバ本体308の底部346を貫通して形成される。ポンピングデバイス352は、処理容積318に結合され、その中の圧力を排気および制御する。ポンピングシステムおよびチャンバ冷却設計は、サーマルバジェットの必要に適した温度、例えば、−25Cから+500Cにおける、高いベース真空(1E−8トル以下)および低い上昇率(1,000ミリトル/分)を可能にする。ポンピングシステムは、RI制御および調整について重要なパラメータである、プロセス圧力の正確な制御を実現するように設計される。
リッドアセンブリ304は、一般的に、ターゲット320およびそこに結合される接地シールドアセンブリ326を含む。ターゲット320は、PVDプロセス期間中に基板390の表面上にスパッタおよび堆積され得る材料源を提供する。ターゲット320は、DCスパッタ期間中にプラズマ回路のカソードとしての役割を果たす。
ターゲット320またはターゲットプレートは、堆積層のために利用される材料、またはチャンバ中で形成されることになる堆積層の要素から製造することができる。電源332などの高電圧電力供給装置がターゲット320に接続され、ターゲット320から材料をスパッタすることを容易にする。一実施形態では、ターゲット320は、シリコン(Si)、チタン(Ti)金属、タンタル金属(Ta)、ハフニウム(Hf)、タングステン(W)金属、コバルト(Co)、ニッケル(Ni)、銅(Cu)、アルミニウム(Al)、これらの合金、これらの組合せなどを含む材料から製造することができる。さらに、処理期間中のターゲットからの電子放出は、ターゲットのn型またはp型ドーピングにより制御することができる。ターゲットは、ホウ素(B)などの導電性元素でドープすることができる。ターゲット材料は、単結晶対多結晶の構造を有する場合がある。例えば、ターゲットは、全Siターゲットの結晶格子が単一の結晶であるSiを含むことができる。本明細書に描かれる例示的な実施形態では、ターゲットは、真性Si、またはドープされ電気的に導電性のSi、または特定組成のSiOxyz:Hw合成ターゲットから製造することができる。一実施形態では、ターゲットは、ホウ素が約1×1018原子/cm2にドープされた99.999%純粋なSiである。
ターゲット320は、一般的に、周辺部324および中央部316を含む。周辺部324は、チャンバの側壁310の上方に配設される。ターゲット320の中央部316は、基板支持体338上に配設される基板390の表面に向かってわずかに延びる曲率面を有することができる。ターゲット320と基板支持体338の間の間隔は、約50mmと約350mmの間に維持される。ターゲット320の寸法、形状、材料、構成、および直径は、特定のプロセスまたは基板要件のために変わる可能性があることに留意されたい。一実施形態では、ターゲット320は、基板表面上にスパッタされることが求められる材料によって、結合および/または製造される中央部を有するバッキング板をさらに含む場合がある。ターゲット320は、一緒にターゲットを形成する、隣り合うタイルまたは区分けされた材料を含む場合もある。
リッドアセンブリ304は、処理期間中にターゲット320からの材料の効果的なスパッタを向上させる、ターゲット320の上方に取り付けられた、全面エロージョンマグネトロンカソード302をさらに備えることができる。全面エロージョンマグネトロンカソード321は、簡単で速いプロセス制御および調製されたフィルム特性を可能にする一方、一貫性のあるターゲットエロージョン、ならびに0%から100%の範囲のw、x、y、およびzの様々な値について、ウエハにわたってSiOxyz:Hwフィルムを均一に堆積することを確実にする。マグネトロンアセンブリの例としては、とりわけ、線形マグネトロン、蛇行マグネトロン、螺旋マグネトロン、2つの指状突起のあるマグネトロン、矩形化螺旋マグネトロンが挙げられる。
リッドアセンブリ304の接地シールドアセンブリ326は、接地フレーム306および接地シールド312を含む。接地シールドアセンブリ326は、他のチャンバシールド部材、ターゲットシールド部材、暗黒空間シールド、暗黒空間シールドフレームを含むこともできる。接地シールド312は、処理容積318中でターゲット320の中央部の下方に上部処理領域354を画定する接地フレーム306により、周辺部324と結合される。接地フレーム306は、接地シールド312をターゲット320から電気的に絶縁する一方、側壁310を通るプロセスチャンバ300のチャンバ本体308への接地経路を提供する。接地シールド312は、上部処理領域354内で処理する期間中に生成されたプラズマを含み、ターゲット320の閉じ込められた中央部316からターゲット源材料を移動させ、それによって、移動されたターゲット源が、チャンバ側壁310よりもむしろ基板表面上に主に堆積されることを可能にする。一実施形態では、接地シールド312は、溶接、接着、高圧圧縮などの当技術分野で知られたプロセスにより結合する、1つまたは複数のワークピースの断片および/またはいくつかのこれらの部片により形成することができる。
チャンバ本体308の底部346を貫通して延びるシャフト340が、リフト機構344に結合する。リフト機構344は、下側移送位置と上側処理位置の間で、基板支持体338を動かすように構成される。ベローズ342がシャフト340を囲み、基板支持体338に結合されて、その間に軟性の密閉を実現し、それにより、チャンバ処理容積318の真空完全性を維持する。
基板支持体338は、静電チャックであって、電極380を有することができる。静電チャック(ESC)338は、反対の電荷の引力を使用して、リソグラフィプロセスのために絶縁性と導電性の基板390の両方を保持し、DC電力供給装置381によって電力供給される。ESC338は、誘電体本体内に埋め込まれた電極を備える。DC電力供給装置381は、電極に約200から約2000ボルトの、DCチャック電圧を提供することができる。DC電力供給装置381は、基板390をチャックしたりチャックを離したりするため電極にDC電流を向けることによって電極380の動作を制御するためのシステムコントローラを含むこともできる。
PVDプロセスの温度は、ハードマスク層205の下方に堆積された有機フィルムが揮発性になる温度よりも低く保つことができる。例えば、温度は、摂氏約250度未満であり、ハードマスク層205の下方の有機フィルムからガスが抜けてチャンバを汚染することを防止するために、約摂氏50度の余裕を有することができる。ESC338。ESC338は、デバイス集積化要件のサーマルバジェットにより要求される温度範囲で実施する。例えば、−25Cから100Cの温度範囲用の着脱式ESC338(DTESC)、100Cから200Cの温度範囲用の中間温度ESC338(MTESC)、ウエハの迅速で均一な加熱を確実に行う200Cから500Cの範囲である温度用の高温または高温バイアス可能または高温高均一性ESC338(HTESCまたはHTBESCまたはHTHUESC)。
プロセスガスがPVDチャンバ300の中に導入された後、ガスに電圧が加えられてプラズマを形成する。1つまたは複数のインダクタコイルなどのアンテナ376を、PVDチャンバ300に隣接して設けることができる。アンテナ電力供給装置375は、アンテナ376に電力を供給し、プロセスガスにRFエネルギーなどのエネルギーを誘導的に結合して、PVDチャンバ300中のプロセスゾーンにプラズマを形成することができる。代替的に、または追加で、基板390の下方にカソードおよび基板390の上方にアノードを備えるプロセス電極が使用されて、RF電力を結合してプラズマを生成することができる。PVDチャンバ300の中の他の構成要素の動作も制御するコントローラが電力供給装置375の動作を制御することができる。
シャドウフレーム322は、基板支持体338の周辺領域上に配設され、ターゲット320からスパッタされたソース材料の堆積を、基板表面の所望の部分に限定するように構成される。チャンバシールド336は、チャンバ本体308の内壁上に配設され、基板支持体338の周りに配設されるシャドウフレーム322を支持するように構成される処理容積318に向けて内向きに延びるリップ356を有することができる。基板支持体338が処理のために上側位置に上げられると、基板支持体338上に配設された基板314の外側エッジはシャドウフレーム322により係合され、シャドウフレーム322はチャンバシールド336から持ち上げられて離間される。基板支持体338が基板移送アクセスポート330に隣接する移送位置に下げられると、シャドウフレーム322は、チャンバシールド336上に戻って設定される。リフトピン(図示せず)は、基板支持体338を通して選択的に動かされ、基板390を基板支持体338の上方にリストして、移送ロボットまたは他の好適な移送機構による基板390へのアクセスを容易にする。
コントローラ348は、プロセスチャンバ300に結合される。コントローラ348は、中央処理装置(CPU)360、メモリ358、サポート回路362を含む。コントローラ348は、プロセスシーケンスを制御するために利用され、ガス源328からプロセスチャンバ300へのガス流を加減し、ターゲット320のイオン衝撃を制御する。CPU360は、工業用の設定で使用することができる、汎用コンピュータプロセッサの任意の形式のものであってよい。ソフトウェアルーチンは、ランダムアクセスメモリ、読取り専用メモリ、フロッピー(登録商標)もしくはハードディスクドライブ、またはデジタル記憶装置の他の形式などのメモリ358中に記憶することができる。サポート回路362は、CPU360に従来の方法で結合され、キャッシュ、クロック回路、入出力サブシステム、電力供給装置などを備えることができる。ソフトウェアルーチンは、CPU360により実行されると、CPUを、本発明にしたがってプロセスが実施されるようにプロセスチャンバ300を制御する専用コンピュータ(コントローラ)348に変換する。ソフトウェアルーチンは、PVDチャンバ300から離れて配置される第2のコントローラ(図示せず)によって記憶および/または実行することもできる。
処理期間中に、材料がターゲット320からスパッタされ、基板390の表面上に堆積される。ターゲット320および基板支持体338は、電源332によって、互いに対して、かつ/または接地に対してバイアスをかけられ、ガス源328により供給されたプロセスガスから形成されたプラズマを維持する。プラズマからのイオンは、ターゲット320に向かって加速され、ターゲット320に当たり、ターゲット材料をターゲット320から移動させる。移動されたターゲット材料および反応性プロセスガスは、一緒に、所望の組成で基板390上に層を形成する。RF、DC、もしくは高速スイッチングパルスDC電力供給装置、またはそれらの組合せが、SiOxyz:Hw材料について、スパッタする組成および堆積速度の正確な制御のため、調整可能なターゲットバイアスを実現する。
いくつかの実施形態では、SiOxyz:Hw層の堆積プロセスの異なるフェーズ期間中に、基板に対してバイアスを別々に印加することも望ましい。したがって、バイアスは、発生源385(例えば、DCおよび/またはRF源)から基板支持体338の中のバイアス電極386(またはチャック電極380)に提供することができ、そのため、基板390は、堆積プロセスの1つまたは複数フェーズ期間中に、プラズマ中に形成されたイオンで衝撃を加えられることになる。電極にバイアスをかけることは、基板の表面を滑らかにし、基板の表面の疎水性を増すために使用することができる。いくつかのプロセス例では、バイアスは、SiOxyz:Hwフィルム堆積プロセスが実施された後、基板に印加される。または、いくつかのプロセス例では、バイアスは、SiOxyz:Hwフィルム堆積プロセス期間中に印加される。したがって、基板バイアスが堆積プロセスの全体にわたって維持されると、衝撃を与える原子は、基板の表面において見いだされる堆積材料に運動エネルギーを加える。例えば、約50ワットと約1100ワットの間のエネルギーが使用されて、基板へのイオンにバイアスをかけ、滑らかで高密度の膜を形成することができる。バイアスを大きくすると、基板表面にイオンを、より大きいエネルギーで打ち付ける。例えば、後処理プロセスは、アルゴン(Ar)含有ガスを使用し、SiOxyz:Hwフィルム、すなわちハードマスク層205の基板表面にイオンを向けるための約200〜1100ワットの間ほどのバイアスエネルギーを提供することにより、基板フィルムを滑らかにすることができる。ハードマスク層のための滑らかなフィルム表面は、リソグラフィのため、フォトレジスト層中に形成される特徴の品質に影響を及ぼす可能性がある、凹凸の多い表面上の光の散乱を有利に防止する。別の例では、後処理プロセスは、アルゴン(Ar)ガスを含むプロセスガスを使用することができる。後処理は、SiOxyz:Hwフィルムの表面をより安定で疎水性にすることができる。
独自のハードウェアとプロセスの組合せによって、その上に形成されることになるレジストに対し光学的に一致したSiOxyz:Hwを生成する。SiOxyz:Hwフィルムの屈折率(n)および吸光係数(K)は、ガス流および結果として得られるフィルム化学量論を調節することにより調整可能である。表面がもはや導電性でないとき、ターゲットは、別物質の作用を受けている。ターゲット表面におけるガス雰囲気が特に反応種に富んでいるときには別物質作用モードのスパッタが起こるので、誘電体層がターゲットの面にわたって形成され、ターゲットが電気的に導体または半導体ではなく誘電体のように振る舞うことになる。Hおよび/またはOおよび/またはNおよび/またはC含有ガスは、ターゲットの表面上で反応して、これに別物質の作用を与え、所望のSiOxyz:Hw化学量論を作り、デバイスの必要性に最も適した、光学的「不可視性」およびエッチング選択性の組合せを可能にする。加えて、メタン(CH4)、一酸化炭素(CO)、水素(H2)、または二酸化炭素(CO2)が、別物質作用のためのガスとしてやはり使用され、所望のエッチング選択性とともにnおよびK値のさらなる調整可能性を達成することができる。例えば、H2は、層が薄いことに起因して、屈折率nを増加させることなく光吸収Kを増加させるために、薄膜またはSiH単分子層を形成するために使用することができる。したがって、H含有ガスは、光吸収層を形成することができる。
ハードウェアは、ガスボックスを含むこともできる。チャンバの中に来る前のガスボックス中でガスを混合するのは、均一な、ターゲットへの別物質作用のための様々なプロセスガスの均質なガス混合を確実に行う1つの方法である。ガスボックスは、Kを微調整するために、H2をN2と混合することになる。O2は、NH混合物に追加されることになる(O2およびH2を最初に混合するよりも安定で危険がない)。2つのO2質量流量コントローラ(MFC)が利用される。1つは、およそ100〜200sccm用のO2のバルクコントロール用、1つは、およそ5sccm用のO2の細かい制御用である。プロセスアルゴン(Ar)が混合物に追加されることも可能である。これによって、チャンバに入る前に、均質なガス混合物を確実にする。一実施形態では、頂部上に形成されることになるフォトレジスト層を汚染しないように、HおよびNガスは、SiOxyz:Hwフィルムの完全な形成前に、オフにする場合がある。1つの例では、20%O2/60%N2/20%Arのガス流混合比がチャンバの処理領域に提供され、チャンバの処理領域は、3.5ミリトルに維持される一方で、3kWのパルスDC電力がターゲットに送出される。しかし、いくつかの場合、ガス流混合物は、DC、パルスDC、RFおよび/またはパルスRF電力がターゲットに送出されるときに、チャンバの処理領域に提供する場合がある。
例示的な物理的気相堆積(PVD)プロセスチャンバ300は、クラスタツールの部分であってよい。図7は、基板上にマルチパターン形成されたハードマスクを作り、エッチングするのに好適な、例示的なクラスタツール700を図示する。クラスタツール700は、上に記載されたように、少なくとも1つの物理的気相堆積(PVD)チャンバ300を特徴として備える。クラスタツール700の例は、カリフォルニア州Santa Claraの、Applied Materials、Inc.から入手可能な、Endura(登録商標)システムである。他社により製造されたクラスタツールを、同様に使用することができる。
クラスタツール700は、クラスタツール700の中へ、またクラスタツール700から外へ基板を移送するために、1つまたは複数のロードロックチャンバ706A、706Bを含むことができる。典型的には、クラスタツール700が真空下であるので、ロードロックチャンバ706A、706Bは、クラスタツール700の中に導入される基板を「ポンプダウン」することができる。第1のロボット710は、ロードロックチャンバ706A、706Bと、1つまたは複数の基板処理チャンバ712、714、716、718(4つが図示される)のうちの第1の組との間で基板を移送することができる。各処理チャンバ712、714、716、718は、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、PVDチャンバ300など物理的気相堆積(PVD)、前洗浄、ガス抜き、配向および他の基板プロセスに加えて、本明細書に記載されたエッチングプロセスを含むいくつかの基板処理操作を実施するように装備することができる。
第1のロボット710は、1つまたは複数の中間移送チャンバ722、724との間で基板を移送することもできる。中間移送チャンバ722、724を使用して、超高真空状態を維持する一方、基板をクラスタツール700の中に移送することを可能にすることができる。第2のロボット730は、中間移送チャンバ722、724と、1つまたは複数の処理チャンバ732、734、736、738のうちの第2の組との間で基板を移送することができる。処理チャンバ712、714、716、718と同様に、処理チャンバ732、734、736、738は、例えば、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、前洗浄、熱処理/ガス抜き、および配向に加えて、本明細書に記載されたエッチングプロセスを含む様々な基板処理操作を実施するように装備することができる。基板処理チャンバ712、714、716、718、732、734、736、738のうちのいずれかは、クラスタツール700により実施される特定のプロセスにとって必要でない場合、クラスタツール700から取り除くことができる。
図4A〜図4Mの構造を形成するため説明の役に立つ多重処理クラスタツール700は、上に記載されたPVDチャンバ300と同様に構成される最大4個のPVDチャンバ732、734、736、738を(734と736の間の第5のチャンバ用のオプションとともに)含むことができる。PVDまたはALDチャンバ712または714は、薄いARC/アッシング層(例えば、AlNまたはSiNまたはTiN)を堆積するように構成することができる。熱処理チャンバ716、718は、ガス抜きプロセスなどの、熱処理プロセスを実施することが可能であってよい。ガス抜きプロセスは、さもなければチャンバ操作期間中にガス放出する可能性がある、潜在的な汚染物を取り除くことができる。1つの構成では、熱処理チャンバ716、718は、約200〜300℃の温度および100ミリトル以下の範囲の圧力でガス抜きプロセスを完了するように適合される。さらに、熱処理チャンバ716、718は、クラスタツール700の中で処理する前に基板を予熱することができる。
クラスタツール700を使用して、上の図2および下の図4に記載される方法を実施することができる。処理期間中に、処理されることになる基板は、ポッド(図示せず)の中のクラスタツール700に到達することができる。基板は、ファクトリインターフェースロボット(図示せず)によって、ポッドから真空適合性のあるロードロック706A、706Bに移送される。第1のロボット710は、次いで、ガス抜きおよび予熱のために、ガス抜きチャンバ716または718の中に基板を動かす。第1のロボット710は、次いで、ガス抜きチャンバ716または718から基板を取り上げて、中間移送チャンバ722の中に、またはARC/アッシング層(例えば、AlN層)の堆積のためチャンバ712もしくは714の中に、次いで中間移送チャンバ722の中に基板をロードしてもよい。第2のロボット730は、中間移送チャンバ722からPVDチャンバ732、734、736、または738の中に基板を動かす。ハードマスク層(例えば、図2の中のハードマスク層205)は、PVDチャンバ732、734、736、または738の中の基板上に形成することができる。第2のロボット730は、次いで、PVDチャンバ732から基板を取り上げて、中間移送および冷却チャンバ724の中に基板を移送する。第1のロボット710は、後続のリソグラフィ操作を、クラスタツール700の外部で基板上で実施することができるように、基板をロードロック706Bに動かす。
いくつかのプロセスフローでは、ハードマスク層を含む基板がクラスタツール700の中でさらに処理されること、またはより典型的には、図7に示されるクラスタツールと同様に構成される別個のクラスタツールの中で処理されることが望ましい場合がある。両方の場合で、パターン形成されたレジストを含む基板が、ロードロックチャンバ706Aの中に配置される。第1のロボット710は、次いで、熱処理チャンバ716の中に基板をロードする。基板は、熱処理チャンバ716の中で、ガス抜きプロセスにさらされる。第1のロボット710は、次いで、熱処理チャンバ712から基板を取り上げて、ハードマスクのエッチングおよびその後の基板のアッシングのために、移送チャンバ724を介して第2のロボット730に、そしてエッチングチャンバ714の中に基板を移送する。クラスタツール700は、後続のガス抜きのために、エッチングチャンバ714から熱処理チャンバ716に基板を動かすことができる。このプロセスは、ハードマスク層中に形成される場合、完成パターンとなるまでプロセス自体を繰り返す場合があり、基板は、下にある層をエッチングするためエッチングチャンバ714の中に配置される。
図4Aから図4Mは、光学的に調整可能な「記憶」またはハードマスク層423の中に、複数の交差するトレンチ492、492を製造するシーケンスを示す。図4Aでは、最終的にハードマスクのパターンからパターンがエッチングされることになる、下にある基板が示される。図4bでは、TiN ARC層422などの、反射防止コーティング(ARC)が基板421の上に形成される。その後、本明細書に記載されるような、光学的に調整可能なハードマスク層423が、図4Cに示されるように、ARC層422の上に堆積される。ハードマスク層に一致した光学特性を有するレジスト層424がその上にスピンコーティングなどによって形成され、図4Dに示されるような構造を生み出す。
ここで図4Eを参照すると、リソグラフィプロセス中で、マスク(図示せず)を介してパターン中のレジスト層を露光した後、レジスト層424が現像され、432の特徴間に幅または「限界寸法」を有するレジストによって分離される特徴430をそこに有するレジスト層424を残す。図4Fは、その中に現像された特徴430を有するレジストカバーされた基板421の上面図を示す。その後、基板421は、反応性イオンエッチング環境にさらされ、そこでは、下にあるハードマスク材料をエッチングすることに対して選択的なエッチングガスがプラズマの中に導入されて電圧をかけられ、基板または基板ホルダがバイアスをかけられて、ハードマスク層423の表面に内向きに少なくとも部分的に開口440を指向的にエッチングして、レジスト中に現像されたパターンをコピーする。図4Gに示されるように、結果として得られる構造は、その露光および現像期間中にレジスト層424中に形成される限界寸法432を維持する、ハードマスク層423の壁によって分離された開口440を有する。その後、パターン形成されたハードマスク層423の上にあるレジストの残りの部分が、O2またはH2/O2のリモートプラズマ中で除去され、下にあるハードマスク層423の光学特性に著しくまたは有意味に影響を及ぼすことなくレジストをアッシング(除去)して、図4Hに示される外形をもたらす。
ここで図4Iを参照すると、部分的にエッチングされたハードマスク層は、スピンコーティングなどによりその上に形成された第2のフォトレジスト層441を有し、ここで、第2のレジストは、やはり、光学的に調整されたハードマスク層423と光学的に一致する。その後、図4Kに示されるように、トレンチの特徴がレジスト層441の中に露光および現像され、ここで、トレンチの特徴460は、第1のレジスト層441の中のトレンチの特徴430に対して直角に延在する。図4Jから図4Lでは、基板は、前の図と比較して90度回転される。その後、下にあるハードマスク層423は、レジスト層441の特徴を通してエッチングされ、図4Lおよび図4Mに示されるようなトレンチ480を実現する。
光学的に調整可能なハードマスクを使用することにより、図4ではトレンチである複数の略ナノパターンを、単に、使用されたレジストを除去し、表面を洗浄し、新しいレジストを塗布することによって、同じハードマスク上の連続するパターン形成ステップで形成し、したがって時間、費用、およびハードマスクのマルチパターン形成の複雑さを著しく減少することができる。
本開示の記載は、ハードマスクの屈折率(n)および吸光係数(K)をフォトレジストに一致させるための方法に関するが、これらの特性を一致させるための同じ手段は、他の材料層に同様に適用することができる。例えば、一致する材料を堆積することは、ARCフィルム、パッシベーションフィルムまたは緩衝フィルムとしての使用が考えられる。PVDフィルムは、それらの高純度、高密度、および低温堆積の機能のために差別化される。この発明を使用することによって、現在使用するパターン形成材料およびフィルムスタックを変えることができる。こうして、ハードマスクを形成するための方法は、リソグラフィ操作を受ける様々な層および材料に適用することができる。
上記は、本発明の実施形態に関するが、本発明の他の実施形態およびさらなる実施形態が、本発明の基本的な範囲から逸脱することなく考案することができ、本発明の範囲は、以下の請求項により規定される。

Claims (23)

  1. フィルムスタック上にハードマスクを形成するための方法であって、
    チャンバの中に配設されたターゲットからシリコンを含む材料を基板の表面上にスパッタするステップと、
    前記ターゲットから前記材料をスパッタするステップの間、プロセスガスの流れを送達するステップであって、前記プロセスガスが酸素、水素および窒素を含むステップと
    を含み、
    前記スパッタされた材料の光学特性が、前記スパッタされた材料の表面上に配設されることになるフォトレジスト層の光学特性と、意図されるリソグラフィ露光波長において実質的に等価な値を持つように、前記プロセスガス中の酸素と窒素の比が調節される、方法。
  2. 前記プロセスガスが炭素をさらに含む、請求項1に記載の方法。
  3. 前記意図されるリソグラフィ露光波長における前記光学特性が、パターンアライメント波長における前記光学特性と異なる、屈折率および吸光係数の両方を含む、請求項1に記載の方法。
  4. 前記スパッタされた材料がシリコン、窒素、酸素、および水素を含み、前記スパッタされた材料の前記表面における水素の濃度が、前記スパッタされた材料の厚さにわたる平均水素濃度よりも低く、または前記スパッタされた材料の前記表面における窒素の濃度が、前記スパッタされた材料の前記厚さにわたる平均窒素濃度よりも低い、請求項1に記載の方法。
  5. フィルムスタック上にハードマスクを形成するための方法であって、
    チャンバの中に配設されたターゲットからシリコンを含む材料を基板の表面上にスパッタするステップと、
    前記ターゲットから前記材料をスパッタするステップの間、プロセスガスの流れを送達するステップであって、前記プロセスガスが酸素および窒素を含むステップと
    を含み、
    前記スパッタされた材料の光学特性が、前記スパッタされた材料の表面上に配設されることになるフォトレジスト層の光学特性と、意図されるリソグラフィ露光波長において実質的に等価な値を持つように、前記プロセスガス中の酸素と窒素の比が調節され、
    第1のガスをイオン化するために、前記基板の前記表面の上方にプラズマを生成するステップと、次いで、
    前記イオン化された第1のガスに前記基板の前記表面に衝撃を加えさせるように前記チャンバの部分に結合される電極にバイアスをかけるステップであって、前記電極にバイアスをかけるステップが前記材料にスパッタするステップの後に実施されるステップと
    をさらに含む方法。
  6. 前記スパッタされた材料の前記表面上に直接前記フォトレジスト層を堆積するステップをさらに含み、前記光学特性が屈折率および吸光係数の両方を含み、前記堆積されたフォトレジスト層が、193nmの波長において、1.5と2.5の間の屈折率および0.3の間の吸光係数の両方を含む、請求項1に記載の方法。
  7. 前記スパッタされた材料の前記表面上に直接前記フォトレジスト層を堆積するステップであって、前記フォトレジスト層が第1のフォトレジスト層を備えるステップと、
    前記第1のフォトレジスト層を使用して前記スパッタされた材料をパターン形成するステップと、
    前記パターン形成されたスパッタされた材料の表面上に直接第2のフォトレジスト層を堆積するステップであって、前記第2のフォトレジスト層の光学特性が、前記第2のフォトレジスト層のリソグラフィ露光波長において、前記パターン形成されたスパッタされた材料の前記光学特性と実質的に等価な値を有するステップと、
    前記第2のフォトレジスト層を使用して前記スパッタされた材料をパターン形成するステップと
    をさらに含む、請求項1に記載の方法。
  8. 前記スパッタされた材料の前記表面上に直接前記フォトレジスト層を堆積するステップと、
    前記フォトレジスト層の中にパターンを形成するため、前記フォトレジスト層上でリソグラフィ操作を実施するステップと、
    前記スパッタされた材料中の前記形成されたパターンをエッチングするステップと、
    前記フォトレジスト層を取り除くステップであって、前記フォトレジスト層を取り除くステップが、リモートO2プラズマまたはリモートH2/N2プラズマを使用して前記フォトレジスト層をアッシングするステップを含むステップと
    をさらに含む、請求項1に記載の方法。
  9. フィルムスタック上にハードマスクを形成するための方法であって、
    チャンバの中に配設されたターゲットからシリコンを含む材料を基板の表面上にスパッタするステップと、
    前記ターゲットから前記材料をスパッタするステップの間、プロセスガスの流れを送達するステップであって、前記プロセスガスが酸素および窒素を含むステップと
    を含み、
    前記スパッタされた材料の光学特性が、前記スパッタされた材料の表面上に配設されることになるフォトレジスト層の光学特性と、意図されるリソグラフィ露光波長において実質的に等価な値を持つように、前記プロセスガス中の酸素と窒素の比が調節され、更に、 前記スパッタされた材料の前記表面上に直接前記フォトレジスト層を堆積するステップを含む方法。
  10. 前記スパッタされた材料及び前記フォトレジスト層は、意図されるリソグラフィ露光波長において等価な屈折率及び等価な吸係数の両方を有する請求項9に記載の方法。
  11. フィルムスタック上にハードマスクを形成するための方法であって、
    チャンバの中に配設されたターゲットからシリコンを含む材料を基板の表面上にスパッタするステップと、
    前記ターゲットから前記材料をスパッタするステップの間、プロセスガスの流れを送達するステップであって、前記プロセスガスが酸素および窒素を含むステップと
    を含み、
    前記スパッタされた材料の光学特性が、前記スパッタされた材料の表面上に配設されることになるフォトレジスト層の光学特性と、意図されるリソグラフィ露光波長において実質的に等価な値を持つように、前記プロセスガス中の酸素と窒素の比が調節される方法。
  12. 前記光学特性は、屈折率及び吸収係数を含む請求項11に記載の方法。
  13. 前記露光波長は、193nmである請求項12に記載の方法。
  14. 前記プロセスガスは、炭素をさらに含んでいる請求項11に記載の方法。
  15. 前記スパッタされた材料の前記光学特性が、前記フォトレジストの前記光学特性とパターンアライメント波長において実質的に異なる請求項12に記載の方法。
  16. 前記スパッタされた材料が、シリコン、窒素、酸素、および水素を含み、前記スパッタされた材料の前記表面における水素の濃度が、前記スパッタされた材料の厚さにわたる平均水素濃度よりも低く、または前記スパッタされた材料の前記表面における窒素の濃度が、前記スパッタされた材料の前記厚さにわたる平均窒素濃度よりも低い、請求項11に記載の方法。
  17. 前記基板の前記表面の疎水性を増大させるために前記チャンバの部分に結合される電極にバイアスをかけるステップを更に備えた請求項11に記載の方法。
  18. 前記スパッタされた材料の前記表面上に直接前記フォトレジスト層を堆積するステップをさらに含む請求項11に記載の方法。
  19. 前記光学特性が屈折率および吸光係数の両方を含み、前記堆積されたフォトレジスト層が、193nmの波長において、1.5と2.5の間の屈折率および0.3の間の吸光係数の両方を含む、請求項18に記載の方法。
  20. 前記スパッタされた材料の前記表面上に直接前記フォトレジスト層を堆積するステップであって、前記フォトレジスト層が第1のフォトレジスト層を備えるステップと、
    前記第1のフォトレジスト層を使用して前記スパッタされた材料をパターン形成するステップと、
    前記パターン形成されたスパッタされた材料の表面上に直接第2のフォトレジスト層を堆積するステップであって、前記第2のフォトレジスト層の光学特性が、前記第2のフォトレジスト層のリソグラフィ露光波長において、前記パターン形成されたスパッタされた材料の前記光学特性と実質的に等価な値を有するステップと、
    前記第2のフォトレジストを使用して前記スパッタされた材料をパターン形成するステップと
    をさらに含む請求項11に記載の方法。
  21. 前記スパッタされた材料の前記表面上に直接前記フォトレジスト層を堆積するステップと、
    前記フォトレジスト層の中にパターンを形成するため、前記フォトレジスト層上でリソグラフィ操作を実施するステップと、
    前記スパッタされた材料中の前記形成されたパターンをエッチングするステップと、
    前記フォトレジスト層を取り除くステップであって、前記フォトレジスト層を取り除くステップが、リモートO2プラズマまたはリモートH2/N2プラズマを使用して前記フォトレジスト層をアッシングするステップを含むステップと
    をさらに含む、請求項11に記載の方法。
  22. フィルムスタック上にハードマスクを形成するための方法であって、
    チャンバの中に配設されたターゲットからシリコンを含む材料を基板の表面上にスパッタするステップと、
    前記ターゲットから前記材料をスパッタするステップの間、プロセスガスの流れを送達するステップであって、前記プロセスガスが酸素および窒素を含むステップと
    を含み、
    前記スパッタされた材料の光学特性が、前記スパッタされた材料の表面上に配設されることになるフォトレジスト層の光学特性と、意図されるリソグラフィ露光波長において実質的に等価な値を持つように、前記プロセスガス中の酸素と窒素の比が調節され、前記プロセスガスは、アルゴン、ヘリウム、ネオン、クリプトン、キセノン、窒素、フォーミングガス、アンモニア、酸素、水素、水、及び炭素を含むガスからなる群から選択されるガスを含む方法。
  23. 前記プロセスガスは、メタン(CH4)、一酸化炭素(CO)、または二酸化炭素(CO2)を含む請求項22に記載の方法。
JP2016512971A 2013-05-03 2014-05-02 フィルムスタック上にハードマスクを形成するための方法 Active JP6603654B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361819334P 2013-05-03 2013-05-03
US61/819,334 2013-05-03
PCT/US2014/036586 WO2014179694A1 (en) 2013-05-03 2014-05-02 Optically tuned hardmask for multi-patterning applications

Publications (3)

Publication Number Publication Date
JP2016525788A JP2016525788A (ja) 2016-08-25
JP2016525788A5 JP2016525788A5 (ja) 2017-06-15
JP6603654B2 true JP6603654B2 (ja) 2019-11-06

Family

ID=51841026

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016512971A Active JP6603654B2 (ja) 2013-05-03 2014-05-02 フィルムスタック上にハードマスクを形成するための方法

Country Status (6)

Country Link
US (2) US9177796B2 (ja)
JP (1) JP6603654B2 (ja)
KR (1) KR101821304B1 (ja)
CN (2) CN106169415B (ja)
TW (1) TWI606294B (ja)
WO (1) WO2014179694A1 (ja)

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9929310B2 (en) 2013-03-14 2018-03-27 Applied Materials, Inc. Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
US9412619B2 (en) * 2014-08-12 2016-08-09 Applied Materials, Inc. Method of outgassing a mask material deposited over a workpiece in a process tool
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6485542B2 (ja) * 2015-04-09 2019-03-20 王子ホールディングス株式会社 マスク付基板、および、凹凸構造付基板の製造方法
CN106298666A (zh) * 2015-05-25 2017-01-04 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9793169B1 (en) * 2016-06-07 2017-10-17 Globalfoundries Inc. Methods for forming mask layers using a flowable carbon-containing silicon dioxide material
US9882048B2 (en) 2016-06-30 2018-01-30 International Business Machines Corporation Gate cut on a vertical field effect transistor with a defined-width inorganic mask
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
WO2018094000A1 (en) 2016-11-18 2018-05-24 Applied Materials, Inc. Methods for depositing amorphous silicon layers or silicon oxycarbide layers via physical vapor deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10790244B2 (en) 2017-09-29 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10147638B1 (en) * 2017-12-29 2018-12-04 Micron Technology, Inc. Methods of forming staircase structures
US10366917B2 (en) * 2018-01-04 2019-07-30 Globalfoundries Inc. Methods of patterning variable width metallization lines
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7164773B2 (ja) * 2018-03-02 2022-11-02 東京エレクトロン株式会社 パターンを層に転写する方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
JP7109996B2 (ja) * 2018-05-30 2022-08-01 Hoya株式会社 マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10916427B2 (en) * 2018-07-11 2021-02-09 United Microelectronics Corp. Forming contact holes using litho-etch-litho-etch approach
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US20200219766A1 (en) * 2018-12-13 2020-07-09 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Semiconductor structure and method for fabricating the same
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11114299B2 (en) * 2019-07-05 2021-09-07 Applied Materials, Inc. Techniques for reducing tip to tip shorting and critical dimension variation during nanoscale patterning
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11972943B2 (en) 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60114811A (ja) * 1983-11-28 1985-06-21 Hitachi Ltd 光導波路およびその製造方法
JPH028852A (ja) * 1988-06-28 1990-01-12 Fujitsu Ltd パターニング方法
JPH0566108A (ja) * 1991-09-09 1993-03-19 Matsushita Electric Ind Co Ltd 位置合わせ装置
US5935735A (en) * 1996-10-24 1999-08-10 Toppan Printing Co., Ltd. Halftone phase shift mask, blank for the same, and methods of manufacturing these
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
MY132894A (en) * 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
JP2000058830A (ja) * 1998-05-28 2000-02-25 Texas Instr Inc <Ti> 反射防止構造体とその製造法
US6620745B2 (en) * 2001-10-19 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a blocking layer
US6790770B2 (en) * 2001-11-08 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing photoresist poisoning
JP2003262750A (ja) * 2002-03-07 2003-09-19 Nippon Telegr & Teleph Corp <Ntt> SiON薄膜の製造方法
CN1211840C (zh) * 2002-07-19 2005-07-20 上海华虹(集团)有限公司 一种抗反射膜SiON表面CH4等离子体处理方法
WO2006073871A1 (en) 2004-12-30 2006-07-13 Applied Materials, Inc. Line edge roughness reduction compatible with trimming
US20070119813A1 (en) * 2005-11-28 2007-05-31 Texas Instruments Incorporated Gate patterning method for semiconductor processing
KR100948770B1 (ko) * 2008-06-27 2010-03-24 주식회사 에스앤에스텍 블랭크 마스크, 포토마스크 및 이의 제조 방법
WO2010050518A1 (ja) * 2008-10-30 2010-05-06 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
US8896120B2 (en) * 2010-04-27 2014-11-25 International Business Machines Corporation Structures and methods for air gap integration
US8148232B2 (en) 2010-08-11 2012-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Overlay mark enhancement feature
JP5606264B2 (ja) * 2010-10-22 2014-10-15 信越化学工業株式会社 フォトマスクブランク

Also Published As

Publication number Publication date
CN106169415A (zh) 2016-11-30
WO2014179694A1 (en) 2014-11-06
US20160042951A1 (en) 2016-02-11
TWI606294B (zh) 2017-11-21
US9478421B2 (en) 2016-10-25
US9177796B2 (en) 2015-11-03
US20140327117A1 (en) 2014-11-06
CN105190840A (zh) 2015-12-23
CN106169415B (zh) 2020-02-14
TW201447475A (zh) 2014-12-16
KR20160004381A (ko) 2016-01-12
KR101821304B1 (ko) 2018-01-23
CN105190840B (zh) 2018-10-12
JP2016525788A (ja) 2016-08-25

Similar Documents

Publication Publication Date Title
JP6603654B2 (ja) フィルムスタック上にハードマスクを形成するための方法
TWI725177B (zh) 使用原子層蝕刻與選擇性沉積以蝕刻基板
TWI772422B (zh) 消除微影製程中隨機過程的良率衝擊
TWI711887B (zh) 低粗糙度之極紫外光微影技術
KR101427505B1 (ko) 마스크 패턴의 형성 방법 및 반도체 장치의 제조 방법
US9911607B2 (en) Method of processing target object
JP5674375B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP5608920B2 (ja) 二酸化炭素/一酸化炭素に基づく処理を利用した基板アッシング方法
US11495469B2 (en) Method for processing substrates
CN108885991B (zh) 对被处理物进行处理的方法
TW202414542A (zh) 離子佈植用於增加與阻劑材料的黏合
CN111834202A (zh) 基板处理方法和基板处理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170428

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170428

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180223

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180312

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190207

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190507

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190708

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190807

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190912

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191011

R150 Certificate of patent or registration of utility model

Ref document number: 6603654

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250