JP5449642B2 - デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法 - Google Patents

デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法 Download PDF

Info

Publication number
JP5449642B2
JP5449642B2 JP2006208439A JP2006208439A JP5449642B2 JP 5449642 B2 JP5449642 B2 JP 5449642B2 JP 2006208439 A JP2006208439 A JP 2006208439A JP 2006208439 A JP2006208439 A JP 2006208439A JP 5449642 B2 JP5449642 B2 JP 5449642B2
Authority
JP
Japan
Prior art keywords
chamber
substrate
disposed
substrate support
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006208439A
Other languages
English (en)
Other versions
JP2007084919A (ja
Inventor
クマー アジェイ
グレウォル ヴィリンダー
ヤウ ワイ‐ファン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007084919A publication Critical patent/JP2007084919A/ja
Application granted granted Critical
Publication of JP5449642B2 publication Critical patent/JP5449642B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Chemical Vapour Deposition (AREA)

Description

発明の背景
発明の分野
[0001]本発明は概して、ハードマスクを使用するフォトマスク製作方法と、フォトマスク製造におけるプロセス集積のためのクラスターツールおよび方法とに関する。
関連技術の説明
[0002]集積回路(IC)やチップの製造において、チップの異なる層を表すパターンはチップ設計者によって作成される。各チップ層の設計を製造プロセス中に半導体基板上に移送するために、一連の再使用可能なマスクやフォトマスクは、これらのパターンから作成される。マスクパターン発生システムは、正確なレーザーや電子ビームを使用して、チップの各層の設計をそれぞれのマスクにイメージングする。その後マスクはほとんど写真のネガのように使用されて、各層の回路パターンを半導体基板上に移送する。これらの層は1シーケンスのプロセスを使用して作られ、各々完全なチップを備える微細トランジスタおよび電気回路になる。したがって、マスクにおける欠陥がチップに移送されてしまうこともあり、潜在的に性能に悪影響を与える。かなり深刻な欠陥はマスクを完全に使用できないものにさせてしまうこともある。通常、1セット15〜30個のマスクがチップを構築するのに使用され、反復して使用可能である。
[0003]マスクは通常、一方の側に1層のクロムを有するガラスまたは石英基板である。マスクはまた、モリブデン(Mb)でドープされた1層の窒化シリコン(SiN)を含有していてもよい。クロム層は反射防止コーティングおよび感光性レジストによってカバーされる。パターニングプロセス中、回路設計は、レジストの部分を紫外線に暴露することによってマスク上に書き込まれ、暴露部分を現像溶液に可溶性にする。その後レジストの可溶性部分が除去され、暴露された下地クロムはエッチング可能になる。エッチングプロセスは、レジストが除去された、つまり暴露クロムが除去された場所においてマスクからクロムおよび反射防止層を除去する。
[0004]パターニングに利用される別のマスクは石英位相シフトマスクとして既知である。石英位相シフトマスクは、パターニング済みクロム層を介して暴露された石英領域の交互に隣接するエリアが、製作中に回路パターンを基板に移送するのに利用される光の波長の半分にほぼ等しい深さにエッチングされる点を除いて、上記マスクに類似している。したがって、光によって、石英位相シフトマスクを介して、基板上に配置されたレジストを暴露することを示すと、マスクの1つの開口を介してレジストに衝突する光は、直接隣接する開口を通過する光に対する位相から180度ずれている。そのため、マスク開口の縁で散乱される可能性のある光は隣接する開口の縁で散乱する180度の光で相殺され、レジストの所与の領域におけるよりタイトな光の分布をもたらす。よりタイトな光の分布は、より小さい臨界寸法を有する部材の書き込みを容易にする。同様に、クロムレスエッチングリソグラフィに使用されるマスクは2つのマスクの石英部分を通過する光の位相シフトを利用してレジストを順次イメージングすることによって、レジストパターンを現像するのに利用される光分布を改良することができる。
[0005]フォトレジストエッチングマスクは、フォトマスクの製作中の少なくとも1層のプラズマエッチング時に使用される。フォトレジストがエッチングプロセス中にわずかにエッチングされると、エッチングされているフォトマスク層の臨界寸法の寸法コントロールは悪影響を被る。10μmを超える臨界寸法を有する構造において、この構造がエッチングされるフォトレジストのアパーチャの縁に沿った粗さは深刻な問題を引き起こす大きさではない。しかしながら、とりわけフォトマスク自体の臨界寸法が約5μm以下かつナノメートル程度に縮小されると、フォトレジストアパーチャの縁の粗さは臨界寸法自体の粗さに等しい大きさであり、したがって、粗さのわずかなばらつきによっても、臨界寸法が規格外となってしまうことがある。さらに、フォトレジストマスクを使用するエッチングはエッチングバイアス(エッチング中のレジストアパーチャの拡大)を受けるため、約5μm未満の臨界寸法を製作するためにフォトレジストマスクを使用することは、これらの問題はフォトマスクのエッチング部材の不均一性をもたらし、これに応じて、マスクを使用する、臨界寸法の小さい部材を生成する能力を損なうがゆえに、製作者にとっては極めて難題である。マスクの臨界寸法が縮小し続けると、エッチング均一性の重要性は大きくなる。
[0006]そのため、フォトマスク製作のための改良されたプロセスおよび装置に対する当分野における必要性がある。改良されたフォトマスク製作プロセスのために、フォトマスク製造におけるプロセス集積のための改良されたクラスターツールおよび方法に対する必要性もある。
[0007]フォトマスク製作を改良するために、マスキング技術を利用する改良されたエッチングプロセスが開発されて、フォトマスクに形成された部材の良好な寸法コントロールをもたらす。改良されたエッチングプロセスの利点を実現するために、この製作プロセスは、フォトマスク製作に利用される従来のツールと比較して、堆積および処理される材料の追加層を必要とする。しかしながら、追加ツール、およびFAB内のこのツールによって費やされる空間は所有コストを大きく増大させるため、最小限の財政投資によって追加製作ステップのすべてを実行する機能を有するシステムもまた提供される。
発明の概要
[0008]一実施形態では、フォトマスク製作プロセスは、クロムを含むフィルムスタック上にハードマスクをパターニングするステップと、処理チャンバにおいて該パターニング済みフォトマスクを介して該クロム層をエッチングするステップと、該クロム層がエッチングされた該処理チャンバ内で該ハードマスクを除去するステップとを含む。
[0009]他の実施形態では、フォトマスク製造におけるプロセス集積のための集積基板処理システム(例えばクラスターツール)および方法が提供される。一実施形態では、フォトマスク製造におけるプロセス集積に適したクラスターツールは、少なくとも1つのハードマスク堆積チャンバを結合している真空移送チャンバと、クロムをエッチングするように構成された少なくとも1つのプラズマチャンバとを含む。
[0010]別の実施形態では、フォトマスク製造におけるプロセス集積方法は、クラスターツールに結合された第1の処理チャンバにおいて基板上にハードマスクを堆積するステップと、該基板上にレジスト層を堆積するステップと、該レジスト層をパターニングするステップと、該クラスターツールに結合された第2のチャンバにおいて該パターニング済みレジスト層に形成されたアパーチャを介して該ハードマスクをエッチングするステップと、該クラスターツールに結合された第3のチャンバにおいて該ハードマスクに形成されたアパーチャを介してクロム層をエッチングするステップと、を含む。本発明のさらなる実施形態では、該ハードマスクは、酸素含有ガスから形成されたプラズマを使用して該第2または第3のチャンバの少なくとも一方において除去される。
[0011]本発明の教示は、添付の図面に関連した以下の詳細な説明を検討することによって容易に理解可能である。
[0021]理解を容易にするために、図面に共通の同一要素を指し示すのに、可能な限り同一の参照番号が使用されている。一実施形態の要素および部材はさらなる引用なしに他の実施形態に有益に組み込まれてもよいことが想定されている。
[0022]しかしながら、添付の図面は本発明の例示的な実施形態のみを図示しており、したがって、本発明は他の等しく効果的な実施形態を認めてもよいために、その範囲を制限するものとみなされるべきではない点に注目すべきである。
詳細な説明
[0023]本発明の実施形態は、フォトマスク製作のための改良されたプロセスと、フォトマスク製造におけるプロセス集積のための改良されたクラスターツールおよび方法とを含む。フォトマスク製作方法は、フォトマスクに処理されるフィルムスタック上に極薄ハードマスクを形成するステップを含んでいる。フィルムスタックは概してクロム含有層および石英層を含んでいる。フィルムスタックは付加的に、モリブデン含有層などの光減衰層を含んでいてもよい。一実施形態では、ハードマスク材料は、石英および/またはクロム含有層などの、エッチングされている下地層に対して高い選択性を有する材料から選択されてもよい。別の実施形態では、ハードマスク材料は、ハードマスクを介してエッチングされる下地層と同程度のエッチングレートを有する材料から選択されてもよい。本発明のハードマスクは、本明細書に説明されている化学的性質を使用して横方向にエッチングされないので、ハードマスクに形成されたアパーチャの寸法的安定性によって、およそ5μm未満の、かつナノメートル程度の臨界寸法を有するフォトマスク構造が適切に製作可能になる。
[0024]図1は、本発明のフォトマスク製作方法の一実施形態を実践するのに適した例示的な集積半導体基板処理システム(例えば、クラスターツール)100の概略平面図を描いている。システム100は事例的に、真空気密中央移送チャンバ104と、入力/出力モジュール(例えば、ファクトリインタフェース)102と、システムコントローラ140とを含んでいる。一実施形態では、移送チャンバ104は複数の処理チャンバと少なくとも1つのロードロックチャンバ106(2つが図1に示されている)とを含んでおり、これらは中央移送チャンバ104の周縁に結合されている。
[0025]ロードロックチャンバ106は、ファクトリインタフェース102の略大気環境と移送チャンバ104の真空環境間で基板を移送するために利用される。移送チャンバ104は、ロードロックチャンバ106と、移送チャンバ104に結合された種々のプロセスチャンバとの間で基板を移送するように構成された真空ロボット130をその中に配置している。
[0026]移送チャンバ104に結合された処理チャンバは概して、ハードマスクをエッチングするように構成された少なくとも1つのエッチングチャンバと、クロム層をエッチングするように構成された少なくとも1つのエッチングチャンバと、少なくとも1つの化学気相堆積チャンバとを含んでいる。一実施形態では、化学気相堆積チャンバとエッチングチャンバおよび/または別の処理チャンバのうちの少なくとも1つは、酸素含有ガスから形成されたプラズマへの暴露によるハードマスクの除去などのアッシングプロセスを実行することができる。図1に描かれた実施形態では、4個の処理チャンバが移送チャンバ104に結合されて、ハードマスク材料を堆積するように構成された第1のチャンバ110と、ハードマスク材料をエッチングするように構成された第2のチャンバ112と、クロムをエッチングするように構成された第3のチャンバ114と、ハードマスク材料を除去するように構成された第4のチャンバ116とを含んでいる。本発明での使用に適したエッチングチャンバおよびアッシングチャンバの例は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なTETRA(登録商標)、TETRA(登録商標)II、DPS(登録商標)II、DPS(登録商標)IIHTおよびAXIOM(商標)処理チャンバを含んでいる。処理チャンバ110、112、114、116のそれぞれの例示的な実施形態についての例示的な説明は、図5〜7を参照して以下に論じられる。
[0027]一実施形態では、ファクトリインタフェース102は、計測モジュール126と、少なくとも1個のフロント開口一体型ポッド(FOUP)108を受容する少なくとも1個のドッキングステーションと、少なくとも1個の基板移送(FI)ロボット132とを含んでいる。2つのFOUP108は図1に描かれた実施形態に示されている。計測モジュール126は、基板上に形成された構造の臨界寸法を測定するのに適した少なくとも1個の非破壊測定技術を用いる。本発明の利点を享受するように適合可能な1個の適切な計測モジュールは、光学的に臨界寸法を測定し、Milpitas,CaliforniaにあるNanometricsから入手可能である。臨界寸法を測定するのに適した他の測定ツールが代替的に用いられてもよいことが想定されている。FIロボット132は、FOUP108と、計測モジュール126とロードロックチャンバ106間で処理前および処理後の基板を移送するように構成されており、またロボットの移動範囲を増大させるためにトラック118に沿って位置決め可能であってもよい。
[0028]代替的に、計測モジュール126は、例えば処理チャンバ110、112、114、116のうちの1つの代わりに移送チャンバ104に、または移送チャンバ104の別のファセットに直接結合されてもよい。この構成によって、真空環境から基板を移送する必要なく臨界寸法データを収集することが容易になる。2つ以上の計測モジュール126が利用されてもよいこと、かつ少なくとも1個の計測モジュールがシステム100の大気および真空サイドに位置決めされてもよいこともまた想定されている。
[0029]システムコントローラ140は集積処理システム100に結合されて、これをコントロールする。システムコントローラ140は、システム100のチャンバおよび装置のダイレクトコントロールを使用して、あるいは代替的にこれらのチャンバおよび装置に関連するコンピュータ(またはコントローラ)をコントロールすることによって、システム100の動作の全態様をコントロールする。動作において、システムコントローラ140によって、計測モジュール126から得られたデータのフィードフォワードおよび/またはフィードバックに伴うデータ収集はシステム100の性能を最適化し、フォトマスクCDの設計耐性の保持を容易にすることができる。
[0030]システムコントローラ140は概して、中央演算処理装置(CPU)142と、メモリ144とサポート回路146とを含んでいる。CPU142は、工業的設定で使用可能な汎用コンピュータプロセッサの任意の形態のうちの1つであってもよい。サポート回路146は従来CPU142に結合されて、キャッシュ、クロック回路、入力/出力サブシステム、電源などを備えていてもよい。CPU142によって実行される場合、ソフトウェアルーチンはCPUを特定目的のコンピュータ(コントローラ)140に変換する。ソフトウェアルーチンはまた、システム100から離れて配置されている第2のコントローラ(図示せず)によって記憶および/または実行されてもよい。
[0031]図2A〜図2Bは、本発明の一実施形態に従った、図3A〜図3Gに示されるようなフォトマスク320の製作方法200のフロー図の一実施形態を描いている。方法200は概して、通常ソフトウェアルーチンとしてメモリ144に記憶されている。本発明の方法200はソフトウェアルーチンとして遂行されるものとして論じられるが、本明細書に開示されている方法ステップの一部または全部はハードウェアで、ならびにソフトウェアコントローラによって実行されてもよい。したがって、本発明は、コンピュータシステムで実行されるようなソフトウェアで、特定用途集積回路としてのハードウェアまたは他のタイプのハードウェア遂行で、あるいはソフトウェアおよびハードウェアの組み合わせで遂行されてもよい。
[0032]方法200はステップ202で開始し、ここで基板122はFOUP108のうちの1つから第1のプロセスチャンバ110に、ロードロックチャンバ106のうちの1つを介して移送される。基板122は概して、製作中のフォトマスク構造のフィルムスタック300を備えている。フィルムスタック300は、フォトマスク材料として既知の、不透明光遮断クロム層304を有する石英(つまり二酸化シリコン(SiO))層302などの光学的に透明なシリコンベース材料を含んでおり、パターニング済みマスクを石英層302の表面に形成する。クロム層304はクロムおよび/または酸窒化クロムであってもよい。基板122はまた、図3Aに示されるように、石英層302とクロム層304間に介在された、モリブデン(Mo)またはモリブデンシリコン(MoSi)でドープされた窒化シリコン(SiN)などの(仮想線で示された)減衰層306を含んでいてもよい。
[0033]ステップ204において、ハードマスク層30は、図3Bに示されるように、第1の処理チャンバ110で実行される化学気相堆積プロセスを利用して基板122上に堆積される。ハードマスク層30は、約50Å〜約100Åの厚さを有するが、これに制限されない。ハードマスク層30は、他の適切な材料のなかでもとりわけ、SiC;SiCH;SiCOH;SiCNH;SiCONH;ダイアモンド状炭素、炭素、タングステン、SiOおよびSiを含む酸窒化クロム、酸窒化シリコン、シリコンリッチ酸化物、シリコンリッチ窒化物、シリコンリッチ酸窒化物、窒化チタン、モリブデンシリサイドおよびシリコンカーバイドであってもよい。ハードマスク層30はまた、α−炭素と称される、高温無機炭素材料であってもよい。α−炭素材料を堆積するための一プロセスは、Advanced Patterning Film(APF(商標))の商品名でアプライドマテリアルズ社から入手可能である。別のα−炭素材料は2000年6月8日に出願された同一出願人による米国特許出願第09/590,322号に開示されており、これはその全体を参照として本明細書に組み込まれている。α−炭素材料は、フィルムスタック300に使用されている他の材料に対するα−炭素材料のエッチング選択性を改良するために、窒素(N)でさらにドープされていてもよい。
[0034]一実施形態では、ハードマスク層30は、1つ以上の炭化水素化合物および不活性ガスを備えるガス混合物を加熱して、ガス混合物内の1つ以上の炭化水素化合物を熱分解してアモルファス炭素層を形成することによって堆積される。適切な炭化水素化合物は、一般式C(ここで、xは2〜4の範囲を有し、yは2〜10の範囲を有する)を有するガスを含んでいる。ガス混合物は、約100〜約700℃の温度に加熱されてもよい。堆積中、バイアス電力は、堆積された材料の応力を付勢して、下地フィルムに対するハードマスク層30の接着を改良するように構成されてもよい。とりわけ、フォトマスクの臨界寸法が45nmの範囲に近づくと、エッチングバイアスを促進するハードマスク層30の不良接着は、マスキング材料からフォトマスクまでのCDの受け入れがたい移送をもたらすことになる。
[0035]一実施形態では、2つの電源から供給される異なる周波数でのバイアス電力が、堆積された材料の応力を付勢するために堆積中に提供されてもよく、これによって下地フィルムへのハードマスク層30の接着を改良することができる。とりわけ、フォトマスクの臨界寸法が45nmの範囲に近づくと、エッチングバイアスを促進するハードマスク層30の不良接着は、マスキング材料からフォトマスクまでのCDの受け入れがたい移送をもたらすことになる。例えば、最高約1000ワットの総バイアス電力は、約2〜約27MHzの周波数範囲で提供されてもよい。より高い周波数電源とより低い周波数電源間の電力比は100パーセント〜約0パーセント、および約0パーセント〜約100パーセントに及ぶこともある。周波数は、フィルムスタック組成、堆積されるハードマスク材料、および堆積されたハードマスクフィルムの所望の応力レベルに応じて、より高いおよび/またはより低い周波数にチューニングされてもよい。
[0036]一実施形態では、ハードマスク層30は、リソグラフィックパターニングプロセス中の光の反射をコントロールするのに使用される誘電反射防止コーティング(DARC)層を含んでいてもよい。通常、DARC層は二酸化シリコン(SiO)、酸窒化シリコン(SiON)、窒化シリコン(Si)などを備えている。事例的な実施形態では、ハードマスク層はα−炭素フィルムと、約1800オングストロームの結合厚さを有するDARC層とを含んでいる。
[0037]ステップ206において、ハードマスク層30をその上に配置する基板122は第1の処理チャンバ110から除去されて、FOUP108のうちの1つに、ロードロックチャンバ106のうちの1つを介して移送して返される。ステップ208において、基板122はシステム100から除去されて、当分野において既知であるように、パターニング済みフォトレジストマスク30をハードマスク層30上に形成する。ステップ210において、パターニング済みフォトレジストマスク30をその上に配置している基板122は、図3Cに示されるように、システム100に送り返される。
[0038]場合によって、ステップ212において、パターニング済みフォトレジストマスク30をその上に配置している基板122は、FOUP108から計測モジュール126に移送されてもよい。計測モジュール126において、フォトレジストマスク30を画成する構造の寸法はステップ214で測定される。測定を実行するために、測定ツールは、分光法、干渉分光法、散乱解析法(scatterometry)、反射率測定法、偏光解析法などの少なくとも1つの非破壊光学測定技術を使用してもよい。測定された寸法は、トポグラフィック寸法、臨界寸法(CD)、厚さ、プロファイル角度などを含んでいてもよい。用語「臨界寸法」は本明細書では、これを介してハードマスク層30がエッチングされるアパーチャを画成するパターニング済みマスク308の構造の最小幅のことである。測定は、一まとまりの基板のうちの各基板に対して、かつ所与の周期性で実行されてもよい。一実施形態では、計測モジュール126は、各基板122上のフォトレジストマスク30の臨界寸法測定を得る。測定は、実質的に有効な平均CD測定を得るために、基板の複数の領域で実行されてもよい。これらの測定の結果は、トリミングプロセスのレシピを判断するために集積半導体基板処理システムで使用されてもよく、フォトレジストマスク形成プロセスを修正するためのフィードバック情報として使用されてもよく、あるいはクロムエッチングプロセスを修正し、プロセス性能、品質コントロールを監視し、または他の使用のためのフィードフォワード情報として使用されてもよい。フォトレジストパターニング済みマスクのプレトリミング測定を使用するエッチング部材の寸法コントロール方法の例は、2003年5月1に出願された同一出願人による米国特許出願第10/428,145号、および2003年9月19日に出願された米国特許出願第10/666,317号に開示されている。CD測定は、本明細書に説明されたエッチングステップのいずれかの後に上記のように行われ、かつ使用されてもよいことが想定されている。
[0039]ステップ216において、パターニング済みフォトレジストマスク30をその上に配置している基板122はFOUP108(または計測モジュール126)から第2のプロセスチャンバ112に、ロードロックチャンバ106のうちの1つを介して移送される。ステップ218において、ハードマスク層30は、図3Dに示されるように、パターニング済みフォトレジストマスク30の層をエッチングマスクとして使用してエッチングされる。
[0040]ハードマスク層30がSiONから形成される一実施形態では、ハードマスク層30は、四フッ化物(CF)を20〜200sccmのレートで、アルゴン(Ar)を20〜200sccmのレートで印加することによって(つまり、1:10〜10:1に及ぶCF:Arの流量比)、200〜1500Wの電力を誘導結合アンテナに印加することによって、20〜150Wの陰極バイアス電力を印加することによって、また2〜20ミリトールのプロセスチャンバの圧力で、摂氏50〜200度のウェーハ温度を維持することによってエッチングされてもよい。1つのプロセスレシピはCFを120sccmのレートで、Arを120sccmのレートで(つまり、約1:1のCF:Arの流量比)提供し、アンテナに対して360Wの電力と60Wのバイアス電力とを印加し、摂氏80度のウェーハ温度を維持し、4ミリトールの圧力を維持する。
[0041]ハードマスク層30が炭素ベース材料から形成される別の実施形態では、ハードマスク層30は、臭化水素(HBr)を20〜200sccmのレートで、酸素(O)を10〜40sccmのレートで(つまり、1:2〜20:1に及ぶHBr:O流量比)、アルゴン(Ar)を20〜200sccmのレートで提供することによって、200〜1500Wの電力を誘導結合アンテナに印加することによって、50〜200Wの陰極バイアス電力を印加することによって、2〜20ミリトールのプロセスチャンバ圧力で摂氏50〜200度のウェーハ温度を維持することによってエッチングされてもよい。一プロセスレシピは、HBrを60sccmのレートで、Oを26sccmのレートで(つまり、約2.3:1のHBr:Oの流量比)、Arを60sccmのレートで提供し、アンテナに対して600Wの電力と60Wのバイアス電力とを印加し、摂氏80度のウェーハ温度を維持し、4ミリトールの圧力を維持する。
[0042]オプショナルステップ220において、フォトレジストマスク30が、図3Eに示されるように、ハードマスク層30から除去される。一実施形態では、フォトレジストマスク30は、ステップ218において、ハードマスク層30のエッチングと同時に除去されてもよい。別の実施形態では、フォトレジストマスク30は、ハードマスクエッチングステップ218が実行された第2の処理チャンバ112のイン・シトゥで除去されてもよい。例えば、フォトレジストマスク30は、酸素含有プラズマへの暴露によって、ハードマスクエッチングチャンバのイン・シトゥで除去されてもよい。別の実施形態では、フォトレジストマスク30は、処理チャンバで形成されても、遠隔プラズマソースによって提供されてもよい酸素含有プラズマを提供するストリップチャンバとして構成された第4の処理チャンバ116で除去されてもよい。
[0043]フォトレジストマスク30は代替的にフィルムスタック300上に残されてもよい。フォトレジストマスク30は後続のエッチングプロセス中に最終的に消費されることになり、あるいは、後述されるようにステップ226においてパターニング済みハードマスク層30によって除去されることになる。
[0044]ステップ222において、基板112は第2の処理チャンバ112から第3の処理チャンバ114に移送されて、クロム層304が、ステップ224において、パターニング済みハードマスク層30をエッチングマスクとして使用してエッチングされる。図3Fは、エッチングされたクロム層304を具備するフィルムスタック300を描いている。一実施形態では、エッチングステップ224は、プロセスチャンバ114に導入された1つ以上のハロゲン含有プロセスガスから形成されたプラズマを利用する。例示的なプロセスガスは、とりわけ、過フッ化炭化水素ガス、Cl、HBr、HCl、CFおよびCHFのうちの1つ以上を含んでいてもよい。処理ガスはまたOを含むこともある。処理ガスはさらに、He、Ar、Xe、NeおよびKrなどの不活性ガスを含んでもよい。
[0045]別の実施形態では、クロム層304は、CFを2〜50標準立方センチメートル毎分(sccm)のレートで、またCFHを10〜50sccmのレートで提供することによって、ステップ224でエッチングされる。1つの特定のプロセスレシピはCFを9sccmのレートで、CHFを26sccmのレートで提供する。プロセスチャンバの圧力は約40ミリトール未満に、一実施形態では約1.5〜約15ミリトールにコントロールされる。
[0043]クロムエッチングステップ224の別の実施形態では、約600W未満のパルスバイアス電力がサポートペデスタル124に印加されて、基板122をバイアスする。第1の例では、基板112は約150W未満のパルスRF電力によってバイアスされ、第2の例では基板112は約10WのパルスRFによってバイアスされる。バイアス電力は上記のような周波数およびデューティサイクルによって、例えば約1〜約10kHzの範囲の周波数および約10〜約95パーセントのデューティサイクルによってパルスされてもよい。パルスバイアス電力はDCおよび/またはRFであってもよい。別の実施形態では、バイアスソース140は、約2〜約5kHzの周波数かつ約80〜約95パーセントのデューティサイクルで約10〜約150WのパルスRF電力を提供する。さらに別の実施形態では、バイアスソースは約10WのパルスRF電力を提供する。
[0044]ステップ224中、プロセスガスから形成されたプラズマは、約250〜約600WのRF電力をプラズマ電源112からアンテナ110に印加することによって維持される。プラズマはあらゆる方法で着火されてもよいことが想定されている。
[0045]パターニング済みハードマスク層30を介して基板122上に暴露されたクロム層304は、エンドポイントに達するまでエッチングされる。エンドポイントは、時間、光分光法、チャンバガス発光分光法によって、または他の適切な方法によって判断されてもよい。
[0046]ステップ226において、フォトマスク320を形成するためにハードマスク層30が除去される。一実施形態では、ハードマスク層30は、ステップ242におけるクロム層304のエッチングと同時に除去されてもよい。例えば、SiONから製作されたハードマスク層30は、クロム層のレートに近いレートでエッチングされることになる。したがって、SiONハードマスク層をクロム層の厚さに等しいか、わずかに大きな厚さに堆積することによって、SiONハードマスク層の実質的に全部が、クロム層をエッチングする際に除去されることになる。残りのハードマスク層30を一掃するのに短期のオーバーエッチング期間で済むこともある。
[0047]別の実施形態では、ハードマスク層30は、エッチングステップ224が実行された第3の処理チャンバ114のイン・シトゥで除去されてもよい。例えば、炭素材料から製作されたハードマスク層30は、クロム層エッチングに続いて、第3のチャンバ114のイン・シトゥにおける酸素含有プラズマへの暴露によって除去されてもよい。
[0048]別の実施形態では、ハードマスク層30は、移送ステップ228後に第4の処理チャンバ116において除去されてもよい。本実施形態では、第4の処理チャンバ116は、酸素含有プラズマを提供するストリップチャンバとして構成されてもよく、これは処理チャンバ内に形成されても、遠隔プラズマソースによって提供されてもよい。
[0049]α−炭素ハードマスク層308を有する実施形態では、ハードマスク層308は、酸素含有プラズマを使用してフィルムスタック300から除去(またはストリップ)されてもよい。別の実施形態では、α−炭素ハードマスク層308は、臭化水素および酸素から形成されたプラズマを使用して除去される。HBr:O流量比は約1:10〜10:1に及ぶこともある。アルゴンもまたプラズマに存在してもよい。別の実施形態では、プラズマは200〜1000Wのソース電力および0〜300Wのバイアス電力によって付勢されるのに対して、基板の温度は摂氏約20〜約80度に維持される。代替的に、水素(H)、あるいは酸素と、アルゴン(Ar)などの希釈ガスとの混合物から形成されるプラズマが、α−炭素フィルムハードマスク層308を除去するのに使用されてもよい。
[0050]ハードマスク除去ステップ226の後、(次にフォトマスク320に製作される)基板122はステップ230において、ロードロックチャンバ106を介してファクトリインタフェース102に移送される。ファクトリインタフェース102において、基板122は、ステップ234においてFOUP108のうちの1つに、あるいはオプショナルステップ232において計測モジュール126にロードされる。
[0051]計測モジュール126において、フォトマスク320の臨界寸法CDが測定されてもよい。CD測定情報はとりわけ、フォトマスク処理用の次の基板上のフォトレジストパターニングを修正するためのフィードバックとして、フォトマスク320を利用する生成基板上のエッチングおよび/またはリソグラフィック処理を修正するための、および/または品質および/またはプロセスコントロールを監視するためのフィードフォワードとして利用されてもよい。計測ステップ232の後、基板はステップ232においてFOUP108のうちの1つにロードされる。
[0052]場合によって、基板112は、本発明の別の実施形態に従った、図3G〜図3Jに示されるような位相シフトフォトマスク340の製作方法400の一実施形態を描く図4A〜図4Bのフロー図に図示されるように、さらに処理されてもよい。方法400は、上記方法200に説明されたシーケンスのハードマスクストリップステップ222または計測ステップ230後に開始してもよい。
[0053]方法400は、基板をFOUP108のうちの1つに移送することによってステップ402を開始する。ステップ404において、基板122はシステム100から除去されて、図3Hに示されたように第2のパターニング済みフォトレジストマスク320をフィルムスタック300上に形成する。ステップ406において、パターニング済みフォトレジストマスク320をその上に配置する基板122がシステム100に送り返される。
[0054]場合によって、パターニング済みフォトレジストマスク320をその上に配置する基板122はステップ408において、FOUP108から計測モジュール126に移送されてもよい。ステップ410において、フォトレジストマスク320を画成する構造の寸法は計測モジュール126で測定される。フォトレジストマスク320のCD測定は上記のように行われ、かつ利用されてもよい。
[0055]ステップ412において、パターニング済みフォトレジストマスク320をその上に配置する基板122はFOUP108(または計測モジュール126)からプロセスチャンバ112、114、116のうちの1つに、ロードロックチャンバ106のうちの1つを介して移送される。ステップ414において、石英層302は、パターニング済みフォトレジストマスク320の層をエッチングマスクとして使用してエッチングされる。
[0056]一実施形態では、エッチングステップ414は1つ以上のフッ化プロセスガスから形成されたプラズマを利用する。例示的なプロセスガスはとりわけCFおよびCHFを含んでいてもよい。処理ガスはさらに、He、Ar、Xe、NeおよびKrなどの不活性ガスを含んでいてもよい。石英層302のエッチング中、基板に印加されたバイアス電力は上記のようにパルスされてもよい。
[0057]石英エッチングステップ414のエンドポイントは、図3Iに図示されたエッチング済み石英トレンチ322の深さ330が、石英位相シフトマスクとの併用が意図されている光の所与の波長に対して石英層302から180度位相シフトされた長さにほぼ等しくなるように選択される。通常の波長は193〜248nmである。したがって、異なるリソグラフィック光波長との併用が意図されているマスクについて他の深さが利用されてもよいが、深さ322は通常約172または240nmである。ステップ414において石英トレンチ322がエッチングされた後、フォトレジストマスク320はステップ416において、残りのフィルムスタック300が図3Jに示されるように石英位相シフトマスク320を形成するように、例えばアッシングによって除去される。
[0058]フォトレジストマスク除去ステップ416の後、(次にフォトマスク340に製作される)基板122はステップ418においてロードロックチャンバ106を介してファクトリインタフェース102に移送される。ファクトリインタフェース102で、基板122は、ステップ424においてFOUP108のうちの1つに、あるいはオプショナルステップ230において計測モジュール422にロードされる。
[0059]計測モジュール126において、フォトマスク340の臨界寸法CDが測定されてもよい。CD測定情報はとりわけ、フォトマスク処理用の次の基板上のフォトレジストパターニングを修正するためのフィードバックとして、フォトマスク340を利用する生成基板上のエッチングおよび/またはリソグラフィック処理を修正するための、および/または品質および/またはプロセスコントロールを監視するためのフィードフォワードとして利用されてもよい。計測ステップ226の後、基板はステップ232においてFOUP108のうちの1つにロードされる。
[0060]図5は、図1のシステム100において第1の処理チャンバ110として利用されてもよい化学気相堆積チャンバ500を描いている。チャンバ500は、基板サポート518を処理領域512内に配置しているチャンバ本体502に結合されている。
[0061]例示的なチャンバ本体502は概して、蓋506と、底部508と側壁510とを含んでいる。排出ポート546がチャンバ本体502を介して配置され、処理領域512をポンプシステム530に結合させる。通常、排出ポート546はチャンバ本体502の底部508を介して配置されるが、チャンバ本体502の他の部分に配置されてもよい。ポンプシステム530は概して、チャンバ本体502の内部領域512を空にし、堆積の副生成物を除去し、かつチャンバ本体502内の圧力をコントロールするために利用されるスロットルバルブおよび真空ポンプ(共に図示せず)を含んでいる。
[0062]シャワーヘッド520が蓋506に結合されて、ガスパネル526からチャンバ本体502の処理領域512に提供されたガスの均一な分布を提供する。炭素含有ヘッドマスク層を堆積するための一実施形態では、ガスパネル526は1つ以上の炭化水素化合物またはこの誘導体を処理チャンバ500に提供する。付加的に、酸素含有および/またはフッ素含有化合物が使用されてもよい。炭化水素化合物は場合によって窒素を含有してもよく、あるいは、アンモニアなどの窒素含有ガスによって堆積されてもよい。また、炭化水素化合物はフッ素および酸素などの置換物を有していてもよい。利用可能な1つの炭化水素化合物またはこの誘導体は式C(ここでAは1〜24の範囲を有しており、Bは0〜50の範囲を有しており、Cは0〜10の範囲を有しており、Dは0〜50の範囲を有しており、BおよびDの合計は少なくとも2である)を有している。
[0063]シャワーヘッド520はRF電源522および整合回路524に結合される。電源522は概して、約50kHz〜約13.56MHzの範囲の周波数および最大約10,000ワットの電力を有するRF信号を生成可能である。一実施形態では、ソース522は、約13.56MHzの周波数かつ約600Wの電力でシャワーヘッド520に結合されたRF信号を生成する。
[0064]基板ペデスタル(陰極)124は第2の整合ネットワーク142を介してバイアス電源140に結合される。バイアスソース140は、約50kHz〜約100MHzの周波数および約0〜約10,000ワットの電力を有する信号を提供する。ソース522によってシャワーヘッド520に供給されたRFエネルギーは、プラズマにおけるガスの解離およびイオン化を容易にするのに使用され、これは概して堆積レートの増加に伴う処理温度の低下を容易にする。誘電アイソレーター538はシャワーヘッド520とチャンバ本体520の蓋506間に配置されて、RFホットシャワーヘッド520をチャンバ本体502から電気的に隔離する。プラズマ成長処理はまた付加的なプロセス柔軟性を提供し、様々なタイプの堆積プロセスに使用される機能をシステム500に提供する。
[0065]クリーニング剤発生器528はまたシャワーヘッドを介して処理領域512に結合されてもよい。一実施形態では、クリーニング剤発生器528は、不要な堆積および他の汚れをチャンバコンポーネントから除去する、原子状フッ素(atomic fluorine)などのクリーニング剤を提供する。このような発生器はAzte Corporationから入手可能である。
[0066]基板サポート518はチャンバ本体502の内部領域512に配置されている。基板サポート518は、処理中に基板122を保有するレチクルアダプター582と、基板温度を熱的にコントロールするのに利用される加熱要素544と、処理中に基板をバイアスするための電極590とを含んでいる。加熱要素544は、他の温度コントロールデバイスのうちとりわけ抵抗ヒーター、熱移送流体を流すための流体導管、抵抗加熱要素または熱電デバイスであってもよい。一実施形態では、加熱要素544は電源548に結合された抵抗ヒーターであり、基板122を摂氏約100〜約500度に加熱および維持することが可能であり、一実施形態では、基板温度は摂氏約450度未満に維持される。
[0067]電極590は概して、整合ネットワーク596を介して1対のRFバイアスソース592、594に結合される。バイアスソース592、594は概して、約50kHz〜約27MHzの周波数および約0〜約1,000ワットの電力を有するRF信号を生成可能である。整合ネットワーク596はソース592、594のインピーダンスをプラズマインピーダンスに整合させる。単一のフィード598は両ソースからのエネルギーを、基板サポート518に配置された電極590に結合させる。代替的に、各ソース592、594は個別フィードを介して電極590に結合されることが可能である。
[0068]レチクルアダプター582は基板122を基板サポート518上に保有する。レチクルアダプター582は、アルミニウムや酸化アルミニウム、あるいは他の適切な材料から製作されてもよい。
[0069]図6は、レチクルアダプター582の一実施形態の斜視図を描いている。レチクルアダプター582は概して捕捉リング602およびカバーリング604を含んでいる。捕捉リング602およびカバーリング604は共に基板受け取りポケット612を画成する。
[0070]カバーリング604は概して、基板サポート518の上部表面をカバーし、堆積からこれを保護する。カバーリング604は、リフトピン608が選択的にここを通って突出できる複数のホール620を含んでおり、これによって捕捉リング604をカバーリング602から持ち上げることができる。カバーリング602は、基板受け取りポケット612と、捕捉リングが下部位置にある場合に捕捉リング602と係合する整列部材との境界を提供するように機能する整列部材610を上昇させる。
[0071]捕捉リング602は、基板受け取りポケット612の一部を画成する内縁614を有する略「C形状」を有するアーチ状ベースプレート606を含んでいる。サポートの出っ張り616、618などの基板ポートのうちの1つ以上が内縁614に配置される。基板サポートの出っ張り616、618によって捕捉リング602は、リフトピン608によって持ち上げられる場合に基板122をカバーリング602から持ち上げることができる。「C形状」のベースプレート606の開放端622によってロボットのブレード(図示せず)は基板122と上昇された捕捉リング602とを容易に交換することができる。
[0072]図5に戻ると、基板サポート518は、シャワーヘッド520に対する基板サポート518の上昇をコントロールするリフト機構522に結合される。基板サポート518は、チャンバ本体502の側壁510に配置された基板アクセスポート(図示せず)を介する基板移送を容易にするためのリフト機構552によって下げられてもよい。反対に、基板サポート518は、基板122とシャワーヘッド520間にギャップ(つまり間隔)を設定するためにシャワーヘッド520に向けて上昇されてもよい。ベローズ550はリフト機構552とチャンバ底部508間に結合されて真空漏洩を防止する。
[0073]動作において、基板122は基板サポート518上の処理チャンバ500に配置される。プロセスガスはチャンバ本体502に、シャワーヘッド520を介してガスパネル526から導入される。一実施形態では、RFソース522は、13.56MHzで約600ワットのRF電圧をシャワーヘッド520に提供することによって、チャンバ本体502内のガスを励起して、プラズマ598を形成することができる。RFバイアスソース592は約2MHzの周波数で電力を発生させるように選択され、RFバイアスソース594は約13.56MHzの周波数で電力を発生させるように選択される。RFバイアスソース592、594は、1:0〜0:1のバイアスソース592対バイアスソース594の所定の電力比で最高約1,000ワットの総RF電力を提供する。これらのRFバイアスソース592、594は、共に基板を自己バイアスし、かつプラズマシースを変調するバイアス電力を提供する。バイアスソース592、594間の比の調整はプラズマの特徴をコントロールし、これによって堆積されたフィルムの特性が付勢可能になる。例えば、SiONまたは炭素含有ハードマスク層は、堆積フィルムの応力を削減するように堆積されてもよく、これによってハードマスクフィルムと、クロム含有層などの下地層との間の接着を改良することができる。デュアル周波数バイアスの用途から利点を享受するように適合可能な炭素含有ハードマスク層堆積プロセスは、2005年2月24日に出願された米国特許出願第11/065,464号に説明されており、これはその全体を参照として組み込まれている。
[0074]一実施形態では、電極590での周波数は、これらが電力投入された表面上のプラズマ放電における強力な自己バイアスシースを提供できるほど十分低い周波数となるように選択される。第1の周波数は広範なイオンエネルギー分布(つまりより低い周波数)を提供する。第2の周波数はピークの、明確なイオンエネルギー分布(つまりより高い周波数)を提供する。通常、第1の周波数は、そのサイクルタイムがシースのイオンの遷移時間よりもかなり長くなるように選択されるのに対して、第2の周波数は、その期間がシースのイオンの遷移時間に近づく、もしくはこれを超えるように選択される。これらの周波数はまた、独立駆動電極(例えばシャワーヘッド520)によって提供される第3の電源と併用される場合には、プラズマイオン化および解離用の一次電力コントリビューターとならないように選択される。
[0075]2つの周波数ソースの結合印加電圧は、ピーク間シース電圧ならびに、堆積プロセスを駆動するのに使用される自己バイアスDCポテンシャルをコントロールするのに使用される。2つの周波数の結合は、このDCポテンシャルによって発生されるこの平均加速についてのエネルギー分布をチューニングするのに使用される。したがって、上記のデュアル周波数陰極を具備するプラズマ成長処理チャンバを利用して、プラズマ内のイオンエネルギー分布は、応力および接着などのフィルム特性がバイアス周波数をチューニングすることによって付勢されることが可能になるようにコントロール可能である。
[0076]図7は、処理チャンバ712、714、716のうちの1つとしての使用に適したエッチング反応器700の一実施形態の概略図を描いている。本明細書に開示された教示と併用するのに適合可能な適切な反応器は、例えば、Decoupled Plasma Source(DPS(登録商標))II反応器またはTetraIおよびTetraIIフォトマスクエッチングシステムを含んでおり、これらのすべてはカリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能である。本明細書に示されたエッチング反応器700の具体的な実施形態は、事例的な目的で提供されており、本発明の範囲を制限するのに使用されるべきではない。
[0077]エッチング反応器700は概して、導電体(壁)704内に基板ペデスタル724を有するプロセスチャンバ702と、コントローラ746とを備える。チャンバ702は略フラットな誘電体天井708を有している。チャンバ702の他の修正は他のタイプの天井、例えばドーム状天井を有していてもよい。アンテナ710は天井708の上方に配置される。アンテナ710は、選択的にコントロール可能な1つ以上の誘電コイル要素を備えている(2つの同軸要素710aおよび710bが図7に示されている)。アンテナ710は第1の整合ネットワーク714を介してプラズマ電源712に結合される。プラズマ電源712は通常、約50kHz〜約13.56MHzの範囲のチューナブル周波数で最高約3000ワット(W)を生成可能である。一実施形態では、プラズマ電源712は約300〜約600Wの誘導結合RF電力を提供する。
[0078]基板ペデスタル(陰極)724は第2の整合ネットワーク742を介してバイアス電源740に結合される。バイアスソース740は、約1〜約10kHzの範囲のチューナブルパルス周波数で約0〜約600Wを提供する。バイアスソース740はパルスRF電力出力を生成する。代替的に、バイアスソース740はパルスDC電力出力を生成してもよい。ソース740もまた一定の電力出力を提供可能なことが想定されている。
[0079]一実施形態では、バイアスソース740は、約1〜約10kHzの周波数で、かつ約10〜約95パーセントのデューティサイクルで約700ワット未満のRF電力を提供するように構成される。別の実施形態では、バイアスソース740は、約2〜約5kHzの周波数で、かつ約80〜約95パーセントのデューティサイクルで約20〜約150ワットのRF電力を提供するように構成される。
[0080]DPS(登録商標)II反応器のような一実施形態では、基板サポートペデスタル724は静電チャック760を含んでいてもよい。静電チャック760は少なくとも1つのクランプ電極732を備えており、チャック電源766によってコントロールされる。代替の実施形態では、基板ペデスタル724は、サセプタクランプリング、機械チャックなどの基板保有機構を備えていてもよい。
[0081]ガスパネル720はプロセスチャンバ702に結合されて、プロセスチャンバ702の内部にプロセスガスおよび/または他のガスを提供する。図7に描かれた実施形態では、ガスパネル720は、チャンバ702の側壁704におけるチャネル718に形成された1つ以上の入口716に結合される。1つ以上の入口716は、例えばプロセスチャンバ702の天井708における他の部分に提供されてもよいことが想定されている。
[0082]一実施形態では、ガスパネル720は、入口716を介してプロセスチャンバ702の内部にフッ化プロセスガスを提供するように適合される。処理中、プラズマはプロセスガスから形成され、プラズマ電源712からの電力の誘導結合によって維持される。プラズマは代替的に、遠隔的に形成されたり、他の方法で着火されたりしてもよい。一実施形態では、ガスパネル702から提供されたプロセスガスは少なくともCHFおよび/またはCFを含んでいる。他のフッ化ガスは、CF、C、CおよびCのうちの1つ以上を含んでいてもよい。
[0083]チャンバ702の圧力は、スロットルバルブ762および真空ポンプ764を使用してコントロールされる。真空ポンプ764およびスロットルバルブ762は、約1〜約20ミリトールの範囲のチャンバ圧力を維持可能である。
[0084]壁704の温度は、壁704を通る液体含有導管(図示せず)を使用してコントロールされてもよい。壁の温度は概して摂氏約65度に維持される。通常、チャンバ壁704は金属(例えば、アルミニウム、ステンレス鋼など)から形成され、電気接地706に結合される。プロセスチャンバ702はまた、プロセスコントロール、内部診断およびエンドポイント検出などのための従来のシステムを備えている。このようなシステムは一括してサポートシステム754として示されている。
[0085]レチクルアダプター782は、基板サポートペデスタル724上に(レチクルまたは他のワークピースなどの)基板722を固定するのに使用される。レチクルアダプター782は概して、ペデスタル724(例えば静電チャック760)の上部表面をカバーするようにミリングされた下部部分784と、基板722を保持するようにサイズ設定および適合された開口788を有する上部部分786とを含んでいる。開口788は概して、ペデスタル724に対して実質的にセンタリングされている。アダプター782は概して、ポリイミドセラミックや石英などの、エッチング耐性かつ高温耐性材料の単一片から形成される。適切なレチクルアダプターは2001年6月26日に発行された米国特許第6,251,217号に開示されており、参照して本明細書に組み込まれている。縁リング726はアダプター782をカバーし、かつ/またはこれをペデスタル724に固定してもよい。
[0086]リフト機構738はアダプター782を、ひいては基板サポートペデスタル724に対して基板722を昇降させるのに使用される。概して、リフト機構738は、それぞれのガイドホール736を走る複数のリフトピン(1つのリフトピン730が示されている)を備えている。
[0087]動作において、基板722の温度は、基板ペデスタル724の温度を安定化させることによってコントロールされる。一実施形態では、基板サポートペデスタル724はヒーター744およびオプショナルヒートシンク728を備えている。ヒーター744は、熱移送流体がそこを流れるように構成された1つ以上の流体導管であってもよい。別の実施形態では、ヒーター744は、ヒーター電源768によって調節される少なくとも1つの加熱要素734を含んでいてもよい。場合によって、ガスソース756からのバックサイドガス(例えばヘリウム(He))がガス導管758を介して、基板722の下方のペデスタル表面に形成されたチャネルに提供される。バックサイドガスは、ペデスタル724と基板722間の熱移送を容易にするのに使用される。処理中、ペデスタル724は埋め込みヒーター744によって定常温度に加熱されてもよく、これはヘリウムバックサイドガスとの組み合わせによって、基板722の均一な加熱を容易にする。
[0088]図8は、マスク除去専用のチャンバが望まれる場合に第4の処理チャンバ114として使用されてもよい種類の例示的なアッシング反応器800の概略図を描いている。適切なアッシング反応器は製造業者のなかでもとりわけアプライドマテリアルズ社から入手可能である。適切な反応器800の顕著な特徴については以下に簡潔に説明する。
[0089]反応器800はプロセスチャンバ802と、遠隔プラズマソース806とコントローラ808とを備えている。プロセスチャンバ802は概して真空容器であり、これは第1の部分810および第2の部分812を備えている。一実施形態では、第1の部分810は、基板ペデスタル804と、側壁816と真空ポンプ814とを備えている。第2の部分812は蓋818とガス分配プレート(シャワーヘッド)820とを備えており、これはガス混合容積822および反応容積824を画成する。蓋818および側壁816は概して金属(例えば、アルミニウム(Al)、ステンレス鋼など)から形成され、接地基準860に電気結合される。
[0090]基板ペデスタル804は反応容積824内の基板(ウェーハ)826をサポートする。一実施形態では、基板ペデスタル804は、ガス充填ランプ828などの放射熱ソース、ならびに埋め込み抵抗ヒーター830および導管832を備えていてもよい。導管832はガス(例えばヘリウム)をソース834からウェーハ826のバックサイドに、ペデスタル804のウェーハサポート表面の溝(図示せず)を介して提供する。ガスによって、サポートペデスタル804とウェーハ826間の熱交換が容易になる。ウェーハ826の温度は摂氏約20〜400度にコントロールされてもよい。
[0091]真空ポンプ814は、プロセスチャンバ802の側壁816に形成された排出ポート836に適合される。真空ポンプ814は、プロセスチャンバ102の所望のガス圧力を維持し、ならびにポスト処理ガスおよび他の揮発性化合物をチャンバから抜くために使用される。一実施形態では、真空ポンプ814は、プロセスチャンバ802のガス圧力をコントロールするためのスロットルバルブ838を備えている。
[0092]プロセスチャンバ802はまた、ウェーハ826を保有および解放し、プロセス、内部診断などの終わりを検出するための従来のシステムを備えている。このようなシステムは一括してサポートシステム840として描かれている。
[0093]遠隔プラズマソース806は電源846と、ガスパネル844と遠隔プラズマチャンバ842とを備えている。一実施形態では、電源846は無線周波数(RF)発生器848と、チューニングアセンブリ850とアプリケーター852とを備えている。RF発生器848は、約200〜700kHzの周波数で約200〜500Wを生成可能である。アプリケーター852は遠隔プラズマチャンバ842に誘導結合され、プロセスガス(またはガス混合物)864をチャンバのプラズマ862に付勢する。本実施形態では、遠隔プラズマチャンバ842は、プラズマを閉じ込め、ラジカル種の効率的発生を容易にし、かつプラズマの電子温度を低下させるトロイダル配列を有する。他の実施形態では、遠隔プラズマソース806はマイクロ波プラズマソースであってもよいが、ストリップレートは、誘導結合プラズマを使用して、概して高い。
[0094]ガスパネル844は、プロセスガス864を遠隔プラズマチャンバ842に送出するために導管866を使用する。ガスパネル844(または導管866)は、チャンバ842に供給される個々のガスごとのガス圧力および流量をコントロールするための、質量流コントローラおよびシャットオフバルブなどの手段(図示せず)を備えている。プラズマ862において、プロセスガス864はイオン化および解離されて反応種を形成する。
[0095]反応種は、蓋818の入口ポート868を介して混合容積822に向けられる。ウェーハ826上のデバイスに対するチャージアッププラズマダメージを最小化するために、プロセスガス864のイオン種は、ガスがシャワーヘッド820の複数の開口870を介して反応容積824に達する前に、混合容積822内で実質的中和される。
[0096]上記は本発明の事例的な実施形態に対するものであるが、本発明の他の、およびさらなる実施形態も基本的範囲から逸脱することなく考案されてもよく、またこの範囲は以下の請求項によって判断される。
フォトマスク製作方法の一実施形態を実践するのに適した集積半導体基板処理システム(例えばクラスターツール)の一実施形態の概略図を描いている。 本発明の一実施形態に従ったフォトマスク製作方法のフロー図の一実施形態を描いている。 本発明の一実施形態に従ったフォトマスク製作方法のフロー図の一実施形態を描いている。 図2の方法にしたがってフォトマスクに製作されたフィルムスタックの一連の概略部分断面図を描いている。 図2の方法にしたがってフォトマスクに製作されたフィルムスタックの一連の概略部分断面図を描いている。 図2の方法にしたがってフォトマスクに製作されたフィルムスタックの一連の概略部分断面図を描いている。 図2の方法にしたがってフォトマスクに製作されたフィルムスタックの一連の概略部分断面図を描いている。 図2の方法にしたがってフォトマスクに製作されたフィルムスタックの一連の概略部分断面図を描いている。 図2の方法にしたがってフォトマスクに製作されたフィルムスタックの一連の概略部分断面図を描いている。 図2の方法にしたがってフォトマスクに製作されたフィルムスタックの一連の概略部分断面図を描いている。 図4の方法にしたがってフォトマスクに製作されたフィルムスタックの一連の概略部分断面図を描いている。 図4の方法に従ったフォトマスクに製作されたフィルムスタックの一連の概略部分断面図を描いている。 図4の方法に従ったフォトマスクに製作されたフィルムスタックの一連の概略部分断面図を描いている。 別のフォトマスク製作方法のフロー図の一実施形態を描いている。 別のフォトマスク製作方法のフロー図の一実施形態を描いている。 図1のシステムと共に利用されてもよい化学気相堆積チャンバの一実施形態の概略断面図を描いている。 図5の化学気相堆積チャンバの基板サポートおよびレチクルアダプターの斜視図である。 図1のシステムと共に利用されてもよいエッチング反応器の一実施形態の概略断面図を描いている。 図1のシステムと共に利用されてもよいアッシング反応器の一実施形態の概略断面図を描いている。
符号の説明
100…集積半導体基板処理システム、102…ファクトリインタフェース、104…移送チャンバ、106…ロードロックチャンバ、108…FOUP、110…第1のチャンバ、112…第2のチャンバ、114…第3のチャンバ、116…第4のチャンバ、118…トラック、122…基板、124…サポートペデスタル、126…計測モジュール、130…ロボット、132…FIロボット、140…システムコントローラ、142…CPU、144…メモリ、146…サポート回路、300…フィルムスタック、302…石英層、304…クロム層、30…ハードマスク層、30…フォトレジストマスク、320…フォトマスク、322…石英トレンチ(深さ)、330…深さ、340…位相シフトフォトマスク、500…化学気相堆積チャンバ、502…チャンバ本体、506…蓋、508…底部、510…側壁、512…処理領域、518…基板サポート、520…シャワーヘッド、522…RFソース、526…ガスパネル、528…クリーニング剤発生器、530…ポンプシステム、544…加熱要素、546…排出ポート、550…ベローズ、552…リフト機構、582…レチクルアダプター、590…電極、592、594…RFバイアスソース、596…整合ネットワーク、602…捕捉リング、604…カバーリング、606…ベースプレート、610…整列部材、612…基板受け取りポケット、614…内縁、700…エッチング反応器、702…プロセスチャンバ、704…導電体、706…電気接地、710…アンテナ、710a、710b…同軸要素、712…プラズマ電源、714…第1の整合ネットワーク、716…入口、718…チャネル、720…ガスパネル、722…基板、724…基板サポートペデスタル、728…ヒートシンク、730…リフトピン、736…ガイドホール、738…リフト機構、740…バイアスソース、742…第2の整合ネットワーク、744…ヒーター、754…サポートシステム、758…ガス導管、760…静電チャック、764…真空ポンプ、768…ヒーター電源、782…レチクルアダプター、784…下部部分、786…上部部分、788…開口、800…反応器、802…プロセスチャンバ、804…基板ペデスタル、810…第1の部分、812…第2の部分、814…真空ポンプ、816…側壁、818…蓋、820…シャワーヘッド、822…ガス混合容積、824…反応容積、826…ウェーハ、828…ガス充填ランプ、830…ヒーター、832…導管、834…ソース、840…サポートシステム、842…遠隔プラズマチャンバ、844…ガスパネル、846…電源、848…RF発生器、850…チューニングアセンブリ、852…アプリケーター、860…接地基準、864…プロセスガス、866…導管、868…入口ポート、870…開口。

Claims (12)

  1. 化学気相堆積(CVD)チャンバであって、
    チャンバ本体と、
    前記チャンバ本体の内部容積に配置された基板サポートと、
    前記基板サポートに埋め込まれた電極と、
    前記電極に結合された第1のRF電源と、
    前記電極に結合された第2のRF電源と、
    前記チャンバ本体の前記内部容積に配置されたシャワーヘッドと、
    前記基板サポート上に配置されたレチクルアダプターと、
    前記シャワーヘッドに結合された第3のRF電源と、
    を備えるCVDチャンバ。
  2. 前記レチクルアダプターがさらに、
    前記基板サポート上に配置されたカバーリングと、
    前記カバーリング上に配置され、かつ基板受け取りポケットを定義する捕捉リングと、
    を備える、請求項に記載のCVDチャンバ。
  3. 前記第1および第2のRF電源に結合された整合回路と、
    前記整合回路を前記電極に結合させる単一のフィードと、
    をさらに備える、請求項1に記載のCVDチャンバ。
  4. 前記シャワーヘッドに印加される電力が、前記電極に印加される電力よりも大きい、請求項1に記載のCVDチャンバ。
  5. 前記第1のRF電源が、前記第2のRF電源よりも高い周波数を提供するように適合されている、請求項1に記載のCVDチャンバ。
  6. 化学気相堆積(CVD)チャンバであって、
    チャンバ本体と、
    前記チャンバ本体の内部容積に配置されたシャワーヘッドと、
    前記チャンバ本体の前記内部容積に配置された基板サポートと、
    前記基板サポートに埋め込まれた電極と、
    整合回路を介して前記電極に結合された、第1のRF信号を提供するための第1のRFソースと、
    前記整合回路を介して前記電極に結合された、第2のRF信号を提供するための第2のRFソースと、
    前記シャワーヘッドに結合された第3のRF電源と、
    前記基板サポート上に配置されたレチクルアダプターと、
    を備える化学気相堆積(CVD)チャンバ
  7. 前記レチクルアダプターがさらに、
    前記基板サポート上に配置されたカバーリングと、
    前記カバーリング上に配置され、かつ基板受け取りポケットを定義する捕捉リングと、
    を備える、請求項に記載のCVDチャンバ。
  8. 前記整合回路の第1および第2のRFソースを前記第1の電極に結合させる単一のフィードをさらに備える、請求項に記載のCVDチャンバ。
  9. ハードマスク層堆積方法であって、
    化学気相堆積チャンバに配置された基板サポート上に基板を提供するステップであって、前記基板が石英層およびクロム層を含むステップと、
    ハードマスク前駆ガスを前記化学気相堆積チャンバに流すステップと、
    前記基板サポートに配置された電極に第1のRF信号を供給するステップと、
    前記基板サポートに配置された前記電極に第2のRF信号を供給するステップであって、前記第1および第2のRF信号は異なる周波数を有するステップと、
    ハードマスク層を前記基板上に堆積するステップと、
    を備える方法。
  10. 前記基板サポート上に配置されたシャワーヘッドに第3のRF信号を結合させるステップをさらに備える、請求項に記載の方法。
  11. 前記第1および第2のRF信号が、前記第3のRF信号未満の結合電力を有する、請求項に記載の方法。
  12. 前記第3のRF信号が、前記基板サポートとシャワーヘッド間にプラズマを維持する、請求項11に記載の方法。
JP2006208439A 2005-07-29 2006-07-31 デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法 Expired - Fee Related JP5449642B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/192997 2005-07-29
US11/192,997 US20070031609A1 (en) 2005-07-29 2005-07-29 Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same

Publications (2)

Publication Number Publication Date
JP2007084919A JP2007084919A (ja) 2007-04-05
JP5449642B2 true JP5449642B2 (ja) 2014-03-19

Family

ID=37198983

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006208439A Expired - Fee Related JP5449642B2 (ja) 2005-07-29 2006-07-31 デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法

Country Status (6)

Country Link
US (2) US20070031609A1 (ja)
EP (1) EP1749901A3 (ja)
JP (1) JP5449642B2 (ja)
KR (1) KR101114131B1 (ja)
CN (1) CN1912178B (ja)
TW (1) TWI363105B (ja)

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070212816A1 (en) * 2006-03-08 2007-09-13 Tokyo Electron Limited Substrate processing system
US20070217119A1 (en) * 2006-03-17 2007-09-20 David Johnson Apparatus and Method for Carrying Substrates
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
KR100978886B1 (ko) * 2007-02-13 2010-08-31 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리방법 및 플라즈마처리장치
TWI455203B (zh) * 2007-05-03 2014-10-01 Lam Res Corp 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
US9006001B2 (en) * 2007-12-24 2015-04-14 Texas Instruments Incorporated Simple scatterometry structure for Si recess etch control
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
KR20110042051A (ko) * 2008-06-11 2011-04-22 솔라 임플란트 테크놀로지스 아이엔씨. 주입을 사용하여 솔라 셀의 제작
ES2581378T3 (es) 2008-06-20 2016-09-05 Volker Probst Dispositivo de procesamiento y procedimiento para procesar productos de procesamiento apilados
WO2010033712A2 (en) * 2008-09-17 2010-03-25 Energy Photovoltaics, Inc. Electrode system for large batch production of thin photovoltaic modules
WO2010033713A2 (en) * 2008-09-17 2010-03-25 Energy Photovoltaics, Inc. Large batch production of thin photovoltaic modules
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
KR20110097908A (ko) 2008-11-28 2011-08-31 볼커 프로브스트 반도체 층 또는 원소 셀레늄 및/또는 황으로 처리된 코팅 기판, 특히 평면 기판의 제조 방법
CN102438841A (zh) * 2009-03-23 2012-05-02 因特瓦克公司 用于图案化介质中的岛与沟槽的比值优化的工艺
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) * 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
CN103403854A (zh) * 2011-02-25 2013-11-20 株式会社尼康 观测装置、检查装置、半导体装置的制造方法及基板支承构件
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
JP6068491B2 (ja) 2011-11-08 2017-01-25 インテヴァック インコーポレイテッド 基板処理システムおよび基板処理方法
DE102012100929A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Substratbearbeitungsanlage
DE102012100927A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Prozessmodul
JP5968130B2 (ja) * 2012-07-10 2016-08-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
WO2014100506A1 (en) 2012-12-19 2014-06-26 Intevac, Inc. Grid for plasma ion implant
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
KR102287343B1 (ko) 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US9159630B1 (en) * 2014-07-14 2015-10-13 Globalfoundries Inc. Fin field-effect transistor (FinFET) device formed using a single spacer, double hardmask scheme
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
KR102287344B1 (ko) 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US10204764B2 (en) * 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
CN105655279A (zh) * 2014-11-14 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 承载装置及半导体加工设备
US9576816B2 (en) * 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US9530667B2 (en) * 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
CN104979281A (zh) * 2015-05-25 2015-10-14 上海华力微电子有限公司 一种接触孔形成方法
WO2017069238A1 (ja) * 2015-10-21 2017-04-27 住友大阪セメント株式会社 静電チャック装置
EP3559307B1 (en) 2017-02-08 2022-08-03 Picosun Oy Deposition or cleaning apparatus with movable structure and method of operation
WO2018195423A1 (en) * 2017-04-20 2018-10-25 Micromaterials Llc Structure with selective barrier layer
TWI800505B (zh) * 2017-04-24 2023-05-01 美商應用材料股份有限公司 對電漿反應器的電極施加功率
KR102535916B1 (ko) * 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
WO2018226370A1 (en) * 2017-06-08 2018-12-13 Applied Materials, Inc. High-density low temperature carbon films for hardmask and other patterning applications
WO2019067538A1 (en) * 2017-09-29 2019-04-04 Tokyo Electron Limited METHODS AND SYSTEMS FOR COATING A SUBSTRATE WITH A FLUID
US10840086B2 (en) 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
WO2020006379A1 (en) * 2018-06-30 2020-01-02 Applied Materials, Inc. Deposition and etch processes of chromium-containing thin films for semiconductor manufacturing
GB2584160A (en) * 2019-05-24 2020-11-25 Edwards Ltd Vacuum assembly and vacuum pump with an axial through passage
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
US11495436B2 (en) * 2020-04-30 2022-11-08 Tokyo Electron Limited Systems and methods to control critical dimension (CD) shrink ratio through radio frequency (RF) pulsing
KR20210136481A (ko) 2020-05-07 2021-11-17 삼성전자주식회사 플라즈마 공정 시스템, 그 시스템에서의 플라즈마 제어방법, 및 그 제어방법을 포함한 반도체 소자 제조방법
US11721545B2 (en) 2020-09-28 2023-08-08 Applied Materials, Inc. Method of using dual frequency RF power in a process chamber
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings
CN114717655B (zh) * 2022-04-21 2023-04-07 哈尔滨工业大学 一种用于钻石定制图案和电极的晶体内部图形化方法

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4579648A (en) * 1984-09-24 1986-04-01 Exxon Research And Engineering Co. Catalytic reforming process
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5316616A (en) 1988-02-09 1994-05-31 Fujitsu Limited Dry etching with hydrogen bromide or bromine
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5120680A (en) * 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5471564A (en) * 1992-07-10 1995-11-28 Microsoft Corporation System and method for dynamic printer timeout
JP2512540Y2 (ja) * 1992-09-11 1996-10-02 しげる工業株式会社 ア―ムレスト付きコンソ―ルボックス
JP3251087B2 (ja) 1993-02-16 2002-01-28 東京エレクトロン株式会社 プラズマ処理装置
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
JPH08339992A (ja) * 1995-06-13 1996-12-24 Toshiba Corp 薄膜形成装置および薄膜形成方法
JP3949186B2 (ja) * 1995-12-25 2007-07-25 富士通株式会社 基板載置台、プラズマ処理装置及び半導体装置の製造方法
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5925494A (en) * 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US5689215A (en) * 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
KR100205318B1 (ko) * 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
JPH10241895A (ja) 1996-11-04 1998-09-11 Applied Materials Inc プラズマシース発生高調波をフィルタリングすることによるプラズマプロセス効率の改善
US5889252A (en) * 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5899252A (en) * 1997-07-18 1999-05-04 Freud Usa, Inc. Router bit and routing method
US5959325A (en) 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6546405B2 (en) 1997-10-23 2003-04-08 Microsoft Corporation Annotating temporally-dimensioned multimedia content
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6112697A (en) * 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6060132A (en) 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6171764B1 (en) 1998-08-22 2001-01-09 Chia-Lin Ku Method for reducing intensity of reflected rays encountered during process of photolithography
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
JP2000138201A (ja) 1998-10-29 2000-05-16 Ulvac Seimaku Kk ハーフトーン位相シフト膜のドライエッチング方法および装置、ハーフトーン位相シフトフォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
EP1011135A3 (en) 1998-12-14 2000-07-26 Conexant Systems, Inc. Semiconductor interconnect structure employing a pecvd inorganic dielectric layer and process for making same
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
US6251217B1 (en) 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
KR100322545B1 (ko) 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6228760B1 (en) 1999-03-08 2001-05-08 Taiwan Semiconductor Manufacturing Company Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6682861B2 (en) * 1999-09-30 2004-01-27 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US20010013313A1 (en) 2000-02-10 2001-08-16 Motorola, Inc. Apparatus for fabricating semiconductor structures and method of forming the structures
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP3976981B2 (ja) * 2000-03-30 2007-09-19 キヤノン株式会社 露光装置、ガス置換方法、デバイス製造方法
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6607984B1 (en) 2000-06-20 2003-08-19 International Business Machines Corporation Removable inorganic anti-reflection coating process
JP3792999B2 (ja) * 2000-06-28 2006-07-05 株式会社東芝 プラズマ処理装置
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6887339B1 (en) 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
US6391794B1 (en) 2000-12-07 2002-05-21 Micron Technology, Inc. Composition and method for cleaning residual debris from semiconductor surfaces
JP3897582B2 (ja) 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
US20020172766A1 (en) * 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US6583572B2 (en) * 2001-03-30 2003-06-24 Lam Research Corporation Inductive plasma processor including current sensor for plasma excitation coil
US20020197509A1 (en) 2001-04-19 2002-12-26 Carcia Peter Francis Ion-beam deposition process for manufacturing multi-layered attenuated phase shift photomask blanks
JP2003073836A (ja) 2001-08-28 2003-03-12 Canon Inc 真空処理方法及び真空処理装置
JP3814176B2 (ja) * 2001-10-02 2006-08-23 キヤノンアネルバ株式会社 プラズマ処理装置
US20030077910A1 (en) 2001-10-22 2003-04-24 Russell Westerman Etching of thin damage sensitive layers using high frequency pulsed plasma
US6790770B2 (en) 2001-11-08 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing photoresist poisoning
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US6889339B1 (en) * 2002-01-30 2005-05-03 Verizon Serivces Corp. Automated DSL network testing software tool
US6500756B1 (en) * 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US20050181604A1 (en) 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
JP4451097B2 (ja) * 2002-10-17 2010-04-14 東京エレクトロン株式会社 成膜方法
JP2004158793A (ja) * 2002-11-08 2004-06-03 Tokyo Electron Ltd 絶縁膜の形成方法及び絶縁膜の形成装置
US6864020B1 (en) 2002-12-24 2005-03-08 Lsi Logic Corporation Chromeless phase shift mask using non-linear optical materials
DE112004000591B4 (de) 2003-04-09 2020-09-10 Hoya Corp. Herstellungsverfahren für Photomaske
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7894348B2 (en) 2003-07-21 2011-02-22 Qlogic, Corporation Method and system for congestion control in a fibre channel switch
JP2005093737A (ja) * 2003-09-17 2005-04-07 Tadahiro Omi プラズマ成膜装置,プラズマ成膜方法,半導体装置の製造方法,液晶表示装置の製造方法及び有機el素子の製造方法
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7611758B2 (en) * 2003-11-06 2009-11-03 Tokyo Electron Limited Method of improving post-develop photoresist profile on a deposited dielectric film
US7879185B2 (en) * 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Also Published As

Publication number Publication date
JP2007084919A (ja) 2007-04-05
KR101114131B1 (ko) 2012-03-13
KR20070015031A (ko) 2007-02-01
TWI363105B (en) 2012-05-01
EP1749901A3 (en) 2008-08-06
TW200716784A (en) 2007-05-01
US20070119373A1 (en) 2007-05-31
US20070031609A1 (en) 2007-02-08
EP1749901A2 (en) 2007-02-07
CN1912178A (zh) 2007-02-14
US7658969B2 (en) 2010-02-09
CN1912178B (zh) 2015-01-28

Similar Documents

Publication Publication Date Title
JP5449642B2 (ja) デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法
US7838433B2 (en) Cluster tool and method for process integration in manufacturing of a photomask
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
KR100822294B1 (ko) 포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7790334B2 (en) Method for photomask plasma etching using a protected mask
US20070296980A1 (en) Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US7879510B2 (en) Method for quartz photomask plasma etching
JP2006215552A5 (ja)
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090724

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100706

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100708

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120821

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121119

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121122

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121219

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121225

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130118

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130123

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131225

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees