TW202113121A - 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩 - Google Patents
藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩 Download PDFInfo
- Publication number
- TW202113121A TW202113121A TW109117827A TW109117827A TW202113121A TW 202113121 A TW202113121 A TW 202113121A TW 109117827 A TW109117827 A TW 109117827A TW 109117827 A TW109117827 A TW 109117827A TW 202113121 A TW202113121 A TW 202113121A
- Authority
- TW
- Taiwan
- Prior art keywords
- ahm
- power
- film
- hard mask
- forming
- Prior art date
Links
- 229910052739 hydrogen Inorganic materials 0.000 title claims description 18
- 239000001257 hydrogen Substances 0.000 title claims description 18
- 229910052799 carbon Inorganic materials 0.000 title description 12
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 title description 8
- 125000004435 hydrogen atom Chemical class [H]* 0.000 title description 3
- 238000000034 method Methods 0.000 claims abstract description 207
- 239000000758 substrate Substances 0.000 claims abstract description 81
- 238000000151 deposition Methods 0.000 claims abstract description 35
- 230000008569 process Effects 0.000 claims description 145
- 239000007789 gas Substances 0.000 claims description 61
- 238000005530 etching Methods 0.000 claims description 39
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 32
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 30
- 230000008021 deposition Effects 0.000 claims description 28
- 239000002243 precursor Substances 0.000 claims description 28
- 239000004215 Carbon black (E152) Substances 0.000 claims description 26
- 229930195733 hydrocarbon Natural products 0.000 claims description 26
- 150000002430 hydrocarbons Chemical class 0.000 claims description 26
- 239000004065 semiconductor Substances 0.000 claims description 24
- 239000001307 helium Substances 0.000 claims description 21
- 229910052734 helium Inorganic materials 0.000 claims description 21
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 21
- 239000011261 inert gas Substances 0.000 claims description 18
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 14
- 230000009977 dual effect Effects 0.000 claims description 9
- 230000008859 change Effects 0.000 claims description 8
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 claims description 6
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 claims description 6
- 150000001875 compounds Chemical class 0.000 claims description 5
- 230000036961 partial effect Effects 0.000 claims description 4
- 238000000059 patterning Methods 0.000 claims description 3
- 239000010408 film Substances 0.000 description 80
- 230000035882 stress Effects 0.000 description 47
- 235000012431 wafers Nutrition 0.000 description 47
- 238000012545 processing Methods 0.000 description 46
- 150000002500 ions Chemical class 0.000 description 22
- 238000012546 transfer Methods 0.000 description 22
- 239000007788 liquid Substances 0.000 description 17
- 238000004519 manufacturing process Methods 0.000 description 15
- 238000002156 mixing Methods 0.000 description 13
- 239000000376 reactant Substances 0.000 description 13
- 238000005137 deposition process Methods 0.000 description 12
- 239000000463 material Substances 0.000 description 11
- 239000000203 mixture Substances 0.000 description 11
- 230000001276 controlling effect Effects 0.000 description 10
- 238000010849 ion bombardment Methods 0.000 description 10
- 238000005452 bending Methods 0.000 description 9
- 238000010586 diagram Methods 0.000 description 9
- 230000010349 pulsation Effects 0.000 description 9
- 238000009834 vaporization Methods 0.000 description 9
- 230000008016 vaporization Effects 0.000 description 9
- 238000004380 ashing Methods 0.000 description 8
- 230000006870 function Effects 0.000 description 8
- 230000002829 reductive effect Effects 0.000 description 8
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 7
- 239000000126 substance Substances 0.000 description 7
- -1 SiN and TiN) Chemical class 0.000 description 6
- 230000007423 decrease Effects 0.000 description 6
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 6
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 238000000231 atomic layer deposition Methods 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 230000000694 effects Effects 0.000 description 5
- 239000012528 membrane Substances 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 239000001301 oxygen Substances 0.000 description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 5
- 229910052721 tungsten Inorganic materials 0.000 description 5
- 239000010937 tungsten Substances 0.000 description 5
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 229910052731 fluorine Inorganic materials 0.000 description 4
- 229910052736 halogen Inorganic materials 0.000 description 4
- 150000002367 halogens Chemical class 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 230000007935 neutral effect Effects 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 3
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 3
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 3
- 238000000560 X-ray reflectometry Methods 0.000 description 3
- 229910003481 amorphous carbon Inorganic materials 0.000 description 3
- 238000001636 atomic emission spectroscopy Methods 0.000 description 3
- 230000008033 biological extinction Effects 0.000 description 3
- 229910002091 carbon monoxide Inorganic materials 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 230000005284 excitation Effects 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 230000000670 limiting effect Effects 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 238000004422 calculation algorithm Methods 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 238000001678 elastic recoil detection analysis Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 150000008282 halocarbons Chemical group 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 230000035699 permeability Effects 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 150000003254 radicals Chemical class 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 239000006200 vaporizer Substances 0.000 description 2
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- 241001391944 Commicarpus scandens Species 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- XDTMQSROBMDMFD-UHFFFAOYSA-N Cyclohexane Chemical compound C1CCCCC1 XDTMQSROBMDMFD-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000001273 butane Substances 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 239000000969 carrier Substances 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000002596 correlated effect Effects 0.000 description 1
- 230000000875 corresponding effect Effects 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000009429 distress Effects 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- 239000011630 iodine Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000002045 lasting effect Effects 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 description 1
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 description 1
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 238000010408 sweeping Methods 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/042—Coating on selected surface areas, e.g. using masks using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32146—Amplitude modulation, includes pulsing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32155—Frequency modulation
- H01J37/32165—Plural frequencies
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/26—Deposition of carbon only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/517—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02527—Carbon, e.g. diamond-like carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0272—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/32—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
- H01J2237/3321—CVD [Chemical Vapor Deposition]
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
此處提供的是藉由使低頻射頻部分在高功率下脈動而在基板上沉積可灰化硬遮罩(AHM)的方法及相關設備。使低頻功率脈動可用以增加AHM的選擇性或減少AHM的應力。接著可利用AHM將特徵部蝕刻至基板的下方層中。
Description
本發明關於沉積可灰化硬遮罩(AHM)膜的方法及系統,且尤其關於藉由電漿增強化學氣相沉積(PECVD)沉積可灰化硬遮罩膜的方法及系統。
在半導體處理中,包括在記憶體及邏輯元件製造中,非晶碳膜可用作硬遮罩及蝕刻停止層。這些膜亦稱為可灰化硬遮罩(AHM),因為其可藉由灰化技術加以移除。當微影中的深寬比增加時,AHM需要更高的蝕刻選擇性。目前的使用電漿增強化學氣相沉積(PECVD)形成高選擇性AHM之方法造成具有高應力的AHM,而限制AHM作為硬遮罩的效用。因此,需要產生具有高蝕刻選擇性但低應力的AHM。
此處所包含的背景及脈絡敘述係僅針對大致呈現揭示內容之脈絡的目的。此揭示內容的大部分呈現發明人的成果,且單純由於如此成果敘述於先前技術章節或於此他處呈現作為脈絡,並不表示將其認定為先前技術。
在此揭示的是藉由減低應力位準且增加蝕刻選擇性之電漿增強化學氣相沉積(PECVD)而沉積可灰化硬遮罩(AHM)膜的方法及系統。在諸多實施例中,該方法可涉及將基板暴露至包含碳氫化合物前驅物的製程氣體,並利用雙射頻(RF)源藉由使低頻(LF)功率脈動而產生電漿。使LF功率在高功率、高頻率、及低工作週期(DC)下脈動可增加AHM膜的模數,且因此增加AHM膜的選擇性。實質上為氦的載體氣體亦可減少AHM膜的濺射。
在此處之實施例的一態樣中,提供形成可灰化硬遮罩(AHM)膜的方法,該方法可包含將半導體基板暴露至製程氣體,該製程氣體可包含碳氫化合物前驅物氣體及氦氣,且實質上不具有任何其他惰性氣體;及藉由電漿增強化學氣相沉積(PECVD)製程,在基板上沉積AHM膜,其中該製程可包含激發由包含高頻(HF)部分及低頻(LF)部分的雙射頻(RF)電漿源產生的電漿;HF功率在沉積期間不變,且LF功率係以每300mm晶圓至少約3000W及介於約10%與約75%之間之工作週期脈動。
在一些實施例中,碳氫化合物前驅物氣體可包含具有至多約50 g/mol之分子量的化合物。在一些實施例中,碳氫化合物前驅物氣體可包含具有至少0.5之C:H比的化合物。在諸多實施例中,碳氫化合物前驅物氣體可包含乙炔(C2
H2
)。在一些實施例中,碳氫化合物前驅物可具有製程氣體之約1-2%之間的分壓。
在諸多實施例中,LF功率係在低於或等於約2 MHz的頻率下提供。在諸多實施例中,LF功率係介於每300mm晶圓約3500W與約6500W之間。在一些實施例中,LF功率係於至少約100Hz之頻率下脈動。在一些實施例中,LF功率係於約100Hz與約1000Hz之間的頻率下脈動。
在一些實施例中,LF功率的工作週期介於約10%與約50%之間。在諸多實施例中,LF功率的工作週期介於約60%與約90%之間。在諸多實施例中,LF功率具有介於約200微秒與約300微秒之間之持續時間的開啟時間段。在一些實施例中,該方法係於多站反應器中執行。
在諸多實施例中,AHM膜的內應力為至多約-1400 MPa。在諸多實施例中,AHM膜的模數為至少約80 GPa。在一些實施例中,AHM膜的密度為至少約1.5 g/cm3
。
在一些實施例中,AHM膜的氫濃度為至多約25原子百分比。在諸多實施例中,AHM膜的厚度為至多約2500 nm。在一些實施例中,台座與噴淋頭之間的間隙小於約20mm。
在一些實施例中,該方法可更包含將所沉積的AHM膜圖案化及蝕刻圖案化的AHM膜,以定義該半導體基板中之AHM膜的特徵部。在諸多實施例中,該方法可更包含蝕刻基板中在AHM膜下方的層。
在此處之實施例的另一態樣中,提供形成可灰化硬遮罩(AHM)的方法,該方法包含:將半導體基板暴露至製程氣體,該製程氣體包含碳氫化合物前驅物氣體及惰性氣體;及藉由電漿增強化學氣相沉積(PECVD)製程,在基板上沉積AHM膜,其中該製程可包含:激發由包含高頻(HF)部分及低頻(LF)部分的雙射頻(RF)電漿源產生的電漿;HF功率在沉積期間不變,且LF功率係在每300mm晶圓至少約3000W且每工作週期之LF功率開啟時間為少於300微秒的情況下脈動。
在一些實施例中,LF功率的工作週期介於約10%與50%之間。在諸多實施例中,LF功率開啟時間介於200微秒與300微秒之間。在一些實施例中,LF功率係於至少100Hz的頻率下脈動。
這些及其他特徵將於以下參照圖式更詳細地說明。
引言及脈絡
在半導體處理中,將遮蔽方法用以圖案化及蝕刻基板。當基板深寬比增加時,對於高度選擇性硬遮罩的需求也增加。具有高蝕刻選擇性但易於移除而不損及基板的遮罩對於處理基板是重要的。可灰化硬遮罩(AHM)可用作在蝕刻停止層中或選擇性蝕刻期間、或光阻可能未厚到足以遮蔽下方層之情形中的遮罩。AHM亦可使用在顯示器及其他技術用之玻璃基板上。
AHM膜具有容許其在一旦已發揮其作用時藉由稱為「灰化」、「電漿灰化」、或「乾式剝除」之技術加以移除的化學組成。AHM膜的一實例為非晶碳層或膜。AHM膜通常由碳及氫以及可選的微量之一或更多摻雜物(例如氮、氟、硼、及矽)構成。取決於沉積條件,AHM的鍵結構造可在sp2
(類石墨)或sp3
(類鑽石)、或其組合間變化。
圖1為顯示依據諸多實施例在蝕刻操作中使用可灰化硬遮罩之相關方法操作的流程圖。雖然以下敘述主要參照半導體基板,但該方法亦可應用於其他類型之基板的層上,包括玻璃基板。可利用AHM加以遮蔽之材料的實例包含例如氧化物(如SiO2
)及氮化物(如SiN及TiN)的介電材料、多晶矽(多晶Si)、及例如鋁(Al)、銅(Cu)、及鎢(W)的金屬。在若干實施例中,將此處所述的AHM用以使氧化物、氮化物、或多晶矽層圖案化。
在操作102中,藉由電漿增強化學氣相沉積(PECVD)將可灰化硬遮罩沉積在待蝕刻層上。PECVD製程涉及在沉積腔室中產生電漿。如以下參照圖2進一步敘述,可使用包含高頻(HF)功率及低頻(LF)功率的雙射頻(RF)電漿源。在一些製程中,沉積一或更多AHM層。
在操作104中,依據所需的蝕刻圖案,而使光阻層沉積、曝光、及顯影。在一些實施例中,可在光阻沉積之前在AHM膜上沉積抗反射層(ARL)。
在操作106中,藉由蝕刻AHM的暴露部分而使AHM膜產生開口。使AHM產生開口可藉由富含氟之乾式蝕刻來執行。
接著,在操作108中,使基板層選擇性受蝕刻,以將圖案轉移至基板層。選擇性蝕刻可執行為使得基板層受蝕刻而實質上不削減AHM壁。蝕刻的實例可包含基於自由基及/或基於離子的蝕刻。蝕刻化學品的實例可包含基於鹵素的蝕刻化學品,例如含氟及含氯蝕刻化學品。舉例而言,從含氟碳化合物製程氣體產生的電容耦合電漿可用以選擇性蝕刻氧化物層。製程氣體的具體實例包括可選地伴有氧(O2
)及惰性氣體的含Cx
Fy
製程氣體,例如C4
H8
/CH2
F2
/O2
/Ar。
最後,在操作110中,將稱為灰化、電漿灰化、或乾式剝除的技術用以移除AHM。灰化可藉由富含氧的乾式蝕刻來執行。通常,氧在真空下引入腔室中,且RF功率產生電漿中的氧自由基,以與AHM反應並將其氧化成水(H2
O)、一氧化碳(CO)、及二氧化碳(CO2
)。可選地,任何剩餘的AHD殘留物亦可再灰化後藉由濕式或乾式蝕刻製程加以移除。結果為圖案化的基板層。
高深寬比圖案化使用具有高蝕刻選擇性的AHM。蝕刻選擇性可藉由比較AHM層相對下方層的蝕刻速率來判定。蝕刻選擇性有時可藉由判定AHM層的氫含量、折射率(RI)、密度、及模數、或剛性來取得近似值。通常,較低氫含量、較低RI、較高密度、及較高模數、或更具剛性的AHM能夠在涉及更多離子轟擊之蝕刻製程中承受更高蝕刻速率。因此,具有較低氫含量、較低RI、較高密度、及/或較高模數的AHM具有較高選擇性及較低蝕刻速率,且可更有效率且有效地用於處理高深寬比半導體製程。所需的AHM之蝕刻選擇性可取決於蝕刻製程及下方層的組成,但不論蝕刻製程及下方層的組成為何,蝕刻選擇性與以上的材料性質之間的相關性皆維持相同。此處所述的選擇性相關性適用於所有類型的下方層,包括多晶矽層、氧化物層、及氮化物層。
吾人已觀察到使用連續波(CW)LF及HF電漿生產出的AHM膜可能有若干問題。舉例而言,其可能具有相對高的內應力、高氫含量、低密度、及/或低硬度/模數。下一世代記憶體及邏輯應用的持續縮減特徵部尺寸需要在圖案已蝕刻進入膜堆疊中之後不展現明顯之特徵部的直線彎折或扭曲量。圖2A-B為光阻之直線彎折的圖示。圖2A顯示圖案化AHM的特徵部200,其具有高度或厚度「h」及寬度「w」。圖2A沒有直線彎折,其對於AHM的特徵部而言為理想狀況。圖2B顯示顯示相同的特徵部,但具有直線彎折,其可具有垂直面向223及水平面向225。如所示,直線彎折可表現為彎曲的、帶角度的、或以其他方式彎折的水平分量。在一些情形中,直線彎折表現為垂直分量,其從垂直(正交)於直線形成於其上之基板平面背離。在所繪示的實施例中,直線具有扇形。直線彎折因諸多理由而並非所期望的,尤其是因為直線彎折增加線邊緣粗糙度(LER)及線寬粗糙度(LWR),並減少AHM及使用AHM蝕刻之下方層的臨界尺寸均勻性(critical dimension uniformity, CDU)。總括來說,直線彎折可能造成圖案已蝕刻至膜堆疊中之後的特徵部扭曲。
AHM的直線彎折可藉由以下方程式予以概略模型化:
其中σ及E分別為AHM的內壓縮應力及模數。此方程式顯示直線彎折直接相關於應力及高度,隨著較高應力或高度(亦即厚度)而增加,但反向相關於模數及寬度,隨著增加的模數或寬度而減少。當特徵部尺寸縮減時,AHM特徵部的寬度減少以符合新的臨界尺寸需求。再者,對於蝕刻製程所需的AHM之寬度與其選擇性呈反比;較高選擇性容許較薄的AHM,且較低選擇性需要較厚的AHM。因此,直線彎折可藉由減少應力、增加模數、或減少厚度來加以減少,但減少厚度需要增加的選擇性。
高度選擇性的AHM膜通常具有高應力位準。一些形成AHM的方法在PECVD製程中使用連續波RF功率電漿。使用連續波RF功率導致連續的離子轟擊,其增加膜密度、從而藉由在碳原子之間產生更多sp3
鍵結而增加蝕刻選擇性。然而,連續離子轟擊亦可能使膜中多餘未鍵結的氫原子結合,並藉由以重原子量離子轟擊而使生長中的膜改質。這些效應可能增加所沉積之AHM膜的應力,其限制AHM應用,因為高應力AHM更可能呈現直線彎折。
另一方面,具有低應力位準及伴隨之較少直線彎折的AHM具有較低選擇性。一些形成AHM的方法在PECVD製程期間使RF功率電漿脈動。使RF功率脈動導致脈衝式離子轟擊,其減低應力位準,進而減少直線彎折。然而,脈衝式離子轟擊亦可能減少sp3
鍵結數,其導致較低密度及較低選擇性。對於相同的蝕刻製程而言,較低選擇性需要較厚的AHM,其增加直線彎折量。
依據諸多實施例,形成AHM的方法產生具有高選擇性及低應力的膜。AHM膜沉積技術使用高單站LF功率下的低頻率(LF)RF脈動而可不具有連續波(CW)高頻(HF)RF,以減少可用作AHM之類鑽石碳(diamond-like carbon, DLC)膜的內應力(使應力更為中性)、減少氫含量、並增加選擇性。這些方法產生具有給定應力位準下的經改善之選擇性、或給定選擇性下的經減低應力位準的AHM,因此改善了半導體處理中的AHM效能。
在諸多實施例中,AHM沉積技術使用高單站LF功率下的低頻率(LF)RF脈動而可不具有連續波(CW)高頻(HF)RF,以在用作可灰化硬遮罩(AHM)時減少類鑽石碳(DLC)膜的內應力(使應力更為中性)、減少氫含量、並增加選擇性。對此製程可有三個主要部分。首先,可在各站使用高LF功率。在諸多實施例中,製程的總範圍為每站3500至6500W的LF功率,而有明顯的DLC膜之應力減低及緻密化。第二,使用實質上僅含有氦的載體氣體。針對均勻性,習知上使用氬來幫助包圍電漿。然而,氬離子可能在高離子能量下濺射AHM,而減少密度及選擇性。第三,快速脈動頻率及低工作週期造成短LF「開啟時間」,並容許電漿增加尖峰離子能量但維持低平均離子密度。換言之,由於快速LF脈動,所以有比連續波電漿更少的具有較高能量之離子。高LF功率的益處在採用脈動時可最為明顯。
若干實施例在僅有He/碳氫化合物前驅物大氣中使用高每站LF功率下相對快速(25%工作週期下大於100Hz)的LF脈動,而可不具有CW HF。
圖3顯示依據諸多實施例藉由調變雙RF電漿功率形成AHM之相關方法操作的流程圖。在操作302中,在製程腔室中接收基板。該基板可在此操作中提供至腔室,或該基板可從先前操作起已在腔室中。在操作304中,使基板暴露至包含碳氫化合物前驅物的製程氣體。除了碳氫化合物前驅物之外,亦可使用惰性氣體載體。惰性氣體可包含氦(He)、氬(Ar)、氮(N2
)、氫(H2
)、或其任何者的組合。在一些實施例中,惰性氣體實質上完全為氦。
接著,在操作306中,透過利用雙RF電漿源激發電漿,以產生具有脈衝式低頻(LF)部分及高頻(HF)部分的電漿,而藉由PECVD製程將可灰化硬遮罩沉積在基板上。脈衝式LF部分可藉由使LF功率源脈動而產生。在一些實施例中,使LF RF功率脈動包含使用高功率、快速脈衝、及低工作週期來產生具有低平均離子密度的高尖峰能量離子轟擊。
操作306的結果為AHM膜。此製程產生具有更佳的密度對應力比及更高選擇性。取決於LF功率的工作週期,可調整脈動頻率以維持高平均離子能量,同時改變平均離子密度。在一些實施例中,可減低DC以產生低模數、低應力的膜。在其他實施例中,可增加DC以產生高模數、高應力的膜。增加DC亦可增加AHM膜的沉積速率。取決於其他製程條件,該兩類型的膜皆可為期望的。建議機制
圖4A-C呈現如何使LF功率脈動、及如何可改善AHM沉積物的沉積結果。圖4A顯示相對時間的脈衝式LF功率、及相關聯的工作週期。在時間402,LF功率開啟、或設定在高功率,而在時間404,LF功率關閉、或設定在低功率。如所示且如所屬領域中所知,工作週期係由方程式DC=t開啟
/(t開啟
+t關閉
)所定義,且代表功率開啟或設定在高功率的時間百分比。工作週期及脈衝頻率可一起用以判定LF功率開啟的時間,亦即,具有25%之工作週期的100Hz脈衝頻率表示LF功率開啟2.5ms、且關閉7.5ms。
圖4B為在LF功率按照依據本揭示內容之形成AHM膜的可能機制開啟及關閉時,AHM沉積期間之基板表面的圖示。狀態410顯示LF功率開啟時的基板表面。LF功率大體上對電漿的離子部分充能,且在LF功率開啟時,碳離子412轟擊基板的表面。如以上所討論,離子轟擊可增加密度,但較高密度的帶電離子亦可能不良地增加AHM的應力,且亦可能形成帶電表面414。狀態420顯示LF功率關閉時的基板表面之可能狀況。當LF功率關閉時,離子轟擊停止或大幅減少,且嵌入基板表面中的離子吸收電子422以生成中性電荷。雖然不希望受理論約束,但此容許離子形成更有秩序的結構424,而減少基板表面內的應力,此為期望的。然而,此應力上的減少可能在減低之密度(且因此選擇性)的代價上取得。藉由使LF功率脈動,可使表面在狀態410與420之間改變,以沉積具有減低之內應力的AHM。
圖4C為隨著時間之電漿溫度的圖表,其中溫度以電子伏特計算。當LF功率脈動時,在激發時有短暫的高離子能量尖峰442,後接溫度穩定化的能量平台444,且最後為LF功率關閉時的低溫度區間446。能量尖峰據由實質上靜態的持續時間,亦即不論使LF功率脈動多快,能量尖峰將持續實質上相同的時間量。另一方面,能量平台444取決於LF功率開啟多久而維持存在更多或更少時間。因此,在高脈衝頻率及低工作週期下,LF功率呈現較高的平均離子能量,但維持低平均離子密度。在特定的能量範圍內,較高平均離子能量增加硬遮罩的模數,此為期望的,而低平均離子密度減少應力,此亦為期望的。
在一些實施例中,可增加工作週期,以增加平均離子密度,其可在額外應力的代價下導致較緻密、較高模數的AHM。使用較高DC沉積的AHM膜可仍藉由使用此處揭示之技術而具有較高平均離子能量,來產生具有比具有相同內應力量之其他膜更佳之選擇性的膜。
電漿亦包含惰性氣體,在一些實施例中,惰性氣體為氦而實質上無任何其他氣體。例如氬的較重惰性氣體常針對均勻性而用於幫助包圍電漿,然而,如此離子可能在大於3000W之離子能量下濺射AHM。此對於沉積製程顯然是不期望的。在低離子能量下可使用氦而不濺射AHM,其為期望的,且導致更均勻的沉積。製程窗
此章節敘述諸多可用以產生AHM膜的製程參數。製程參數係針對發生在如以下敘述者的製程腔室中之電漿增強化學氣相沉積製程而提供。
在諸多實施例中,製程腔室中的總壓力介於約0.5托與約20托之間。在一些實施例中,壓力介於約5托與約10托之間、或約0.5托與約1.5托之間。在一些實施例中,碳氫化合物前驅物在相對低分壓下存在於製程腔室中,例如介於約0.01托與約4托之間,如於美國專利US 7,981777及US 7,981,810中所討論,該等專利案係整體於此併入做為參考。在若干實施例中,碳氫化合物前驅物分壓為約0.2托以下。
在一些實施例中,碳氫化合物前驅物為由化學式Cx
Hy
所定義者,其中X為2與10之間的整數,且Y為2與24之間的整數。實例包含甲烷(CH4
)、乙炔(C2
H2
)、乙烯(C2
H4
)、丙烯(C3
H6
)、丁烷(C4
H10
)、環己烷(C6
H12
)、苯(C6
H6
)、及甲苯(C7
H8
)。在若干實施例中,碳氫化合物前驅物為鹵化碳氫化合物,其中一或更多氫原子被鹵素取代,尤其是氟、氯、溴、及/或碘。在一些實施例中,碳氫化合物前驅物包含具有最多約50g/mol之分子量的化合物。在一些實施例中,碳氫化合物前驅物具有至少1:2的C:H比。在一些實施例中,碳氫化合物前驅物為乙炔(C2
H2
)。在一些實施例中,可使用二或更多碳氫化合物前驅物。
在一些實施例中,惰性氣體包含佔全部所使用惰性氣體之體積的至少約50%或至少約80%或至少約95%的氦。在一些實施例中,惰性氣體為氦而實質上不具有任何其他惰性氣體。
前驅物氣體的體積流速取決於特定製程腔室、基板、及其他製程條件。可用於單一300mm基板的體積流速之實例為約10 sccm與約1000 sccm之間的乙炔及約250 sccm與約5000 sccm之間的氦。在一些實施例中,乙炔的流速在總流速的約1%與約3%之間,且氦構成總流速的剩餘部分。在一些實施例中,體積流為介於約15 sccm與約45 sccm之C2
H2
、及介於約1455 sccm與約1485 sccm之氦。在一些實施例中,體積流為介於約18 sccm與約20 sccm之C2
H2
、及介於約1480 sccm與約1482 sccm之氦,所有數值皆針對300mm基板。在一些實施例中,體積流速為介於約40 sccm與約45 sccm之C2
H2
,且介於約1455 sccm與約1460 sccm之氦。除非另外指明,否則此處揭示的流速皆用於針對300mm晶圓而配置的單站工具。流速大致隨著站之數目及基板面積而線性增減。
此處敘述的AHM膜沉積方法可在任何適當的製程溫度下執行,以獲得所需的AHM特性,例如在約50℃至約550℃的範圍內。在一些實施例中,製程溫度介於約100℃與約200℃之間。在一些實施例中,製程溫度介於約150℃與約175℃之間。製程溫度至少部分由於sp2
鍵結對sp3
鍵結形成而可能影響應力、選擇性、及通透性。較高溫度有利於富含sp2
非晶碳網絡形成,因為高溫使C-H鍵容易斷開並實現後續的氫擴散。舉例而言,在高於約500℃之溫度下沉積的膜可具有顯著較多sp2
CH及CH2
鍵結、與相對較少的sp3
鍵結,其具有增加的碳含量及較高密度,且與增加的蝕刻選擇性相關。然而,這些富含sp2
的膜可能不適合厚硬遮罩應用。舉例而言,在2000Å以上,膜可能對於遮罩對準不夠通透。633nm雷射可用於通透膜及半通透膜,但無法用於更不通透的膜,例如在高溫下產生者。先前整體併入於此作為參考的美國專利US 7,981,810提供用於在較低溫度下且/或利用稀釋碳氫化合物前驅物流來沉積選擇性且通透的AHM之製程條件。較低溫度下(例如低於約400℃)沉積的AHM膜可比較高溫度下沉積的膜具有更少的sp2
鍵結。
在一些實施例中,低頻(LF)RF功率意指具有介於約100kHz與約2MHz之間之頻率的RF功率。在一些實施例中,脈動頻率可受限於LF產生器的運作能力。在一些實施例中,LF RF功率具有具備約400kHz之頻率(例如430kHz)的RF功率。高頻RF功率意指具有介於約2MHz與約60MHz之間之頻率的RF功率。在一些實施例中,HF RF功率具有具備約13.56MHz之頻率的RF功率。
在一些實施例中,HF及LF RF部分可以同步方式加以脈動。若使HF部分脈動,其從高功率脈動至低功率且不關閉,以避免電漿鞘崩潰。在一些實施例中,僅使LF RF功率脈動可有利於形成更穩定的電漿。
在一些實施例中,使LF功率脈動而HF功率恆定。在諸多實施例中,LF功率係藉由將LF功率切換開啟及關閉而脈動。在一些實施例中,LF「開啟」功率為每300mm基板至少3000W。在一些實施例中,LF開啟功率介於每300mm基板約3500W與約6500W之間。在一些實施例中,LF「關閉」功率為0W。在諸多實施例中,藉由在非零功率位準之間切換LF而使LF功率脈動,使得LF關閉功率介於0W與LF開啟功率之間。在一些實施例中,LF功率在約1000W與約6000W之間脈動。在一些實施例中,每基板的HF功率在每300mm基板約0W與約150W之間的範圍內。在一些實施例中,每基板的HF功率在約0W與約800W之間的範圍內。在許多實施例中,HF RF部分的最小功率及LF RF部分的最小功率係足以維持電漿。此處提供的所有功率係針對300mm基板。此處所述的RF功率大致隨著站之數目及晶圓面積而線性增減。功率值可在表示在單位面積的基礎上,例如2500W亦可表示為0.884W/cm2
。
LF脈動的工作週期(DC)可在從約10%至約90%的範圍內。在一些實施例中,DC介於約10%與約50%之間、約10%與約30%之間、或約10%與約20%之間。在一些實施例中,DC介於約60%與約90%之間、約60%與約90%之間、或約60%與約75%之間。在諸多實施例中,LF功率在約100Hz與約1000Hz之頻率下脈動。在一些實施例中,LF功率在至少約200Hz、或至少約300Hz之頻率下脈動。在一些實施例中,DC及脈衝頻率設定成使得LF功率開啟持續時間介於約200μs與約2500μs之間,且LF功率關閉持續時間介於約800μs與約7500μs之間。在一些實施例中,LF功率具有持續約200μs與約300μs之間的開啟時間段。
在一些實施例中,台座與噴淋頭之間的間隙小於約0.75吋(20mm)或介於約0.25吋(約6mm)與約0.75吋(約20mm)之間。當電漿的RF功率增加時,可使台座與噴淋頭之間的間隙增加,而不減低所沉積之AHM的品質。
在此處的一些製程中,AHM膜在至少700Å/分的速率下沉積。在一些實施例中,AHM膜在約700Å/分與約900Å/分之間的速率下沉積。AHM膜的沉積速率可取決於DC、因為較長的LF功率之平均「開啟」時間將增加沉積速率。
在一些實施例中,用於沉積AHM膜的製程條件包含利用每300mm晶圓至少約3000W,以約10%與約75%之間的工作週期、及實質上為氦的惰性氣體使LF功率脈動。在一些實施例中,製程條件包含利用每300mm晶圓至少6000W以約10%與約75%之間的工作週期使LF功率脈動、及實質上為氦的惰性氣體。在一些實施例中,製程條件包含利用每300mm晶圓至少約3000W以約10%與約40%之間的工作週期使LF功率脈動、及實質上為氦的惰性氣體。膜性質
依據所揭示方法產生的AHM膜通常主要由碳及氫構成,但其他元素亦可存在於膜中。總括來說,遮罩中的氫之原子百分比越低,模數及選擇性越高。在一些實施例中,可將其他元素添加至氣體混合物,舉例而言,若使用鹵化碳氫化合物,鹵素可構成一百分比的膜組成。在一些實施例中,氫濃度最多為約25原子百分比。在一些實施例中,氫濃度介於約24與25原子百分比之間。在一些實施例中,碳濃度為至少約70原子百分比。在一些實施例中,碳濃度介於約70與76原子百分比之間。可存在於AHM膜中之其他元素的實例包含鹵素、氮、硫、硼、氧、鎢、鈦、及鋁。通常,如此其他元素係以不大於10原子百分比的量存在。
在一些實施例中,依據此處所述方法產生的AHM膜具有最多約-1400MPa、或介於約-200MPa與約-1400MPa之間的內應力大小(負內應力表示壓縮應力,使得較低值具有較小內應力)。在一些實施例中,AHM膜具有至少約80GPa、或介於約145GPa與160GPa之間的彈性模數。在一些實施例中,AHM膜具有至少約9GPa、或介於約15GPa與約17GPa之間的硬度。在一些實施例中,AHM具有至少約1.5g/cm3
、或介於約1.8g/cm3
與約1.9g/cm3
之間的密度。
在一些實施例中,依據此處所述方法產生的AHM膜具有633nm下最多約0.4的消光係數。消光係數可與光移動通過AHM膜的能力、或膜的通透性相互關聯。在一些實施例中,AHM膜為透明或半透明。不具有足夠低之消光係數值的AHM膜可能在後續的蝕刻製程中需要額外的操作來蝕刻AHM膜,這是不希望的。
在一些實施例中,依據此處所述方法所沉積的AHM膜之厚度為介於約100nm與約2500nm之間。一般而言,所需的AHM膜厚度可取決於待蝕刻之下方層的厚度及AHM之蝕刻選擇性而變化,較厚的待蝕刻下方層需要較厚的AHM。如以上所討論,AHM膜用以蝕刻多種下方材料,且對於各材料可具有不同蝕刻選擇性。AHM的蝕刻選擇性可表示為材料之蝕刻速率與AHM之蝕刻速率的比,且可針對不同材料及蝕刻化學品而變化。應用
AHM通常用於藉由蝕刻基板的一或更多下方層而產生半導體元件之特徵部。可利用AHM加以蝕刻的材料可包含矽(單晶、多晶、或非晶矽)、矽氧化物、矽氮化物、及鎢。在一些實施例中,複數層堆疊且利用單一AHM加以蝕刻。如此堆疊的實例包含矽層及矽氧化物層、以及鎢層及矽氮化物層。在一些實施例中,堆疊包含使用單一AHM加以蝕刻的重複疊層。如此重複疊層的實例包含矽氧化物/多晶矽的重複疊層(OPOP)。產線前端及產線後端的特徵部可利用此處揭示的AHM加以蝕刻。記憶體或邏輯元件特徵部可被圖案化。記憶體元件的實例包含:DRAM、NAND、及3D NAND。實例
圖5及6顯示在脈衝或連續波條件下時LF功率在AHM之折射率上的效應。折射率(或RI)可大致用作材料之選擇性的代理,較高的折射率指示AHM的較高選擇性。連續波功率為其中LF功率在沉積期間維持為相對恆定。
圖5為做為LF功率之折射率的圖表。線條504表示來自使用連續波(CW)LF功率沉積之AHM的量測值,而線條502表示來自如此處所述藉由使LF功率脈動而沉積之AHM的量測值。圖5顯示當功率增加時,使用連續波技術沉積的AHM的折射率及因此之選擇性減少。反之,當脈衝式LF功率的功率增加時,AHM的折射率、或選擇性增加。因此,當LF功率增加時,連續波技術將導致更低選擇性的AHM,而脈衝技術將導致更高選擇性的AHM。
圖6為做為內應力之函數之折射率的圖表,其中負應力為壓縮應力,且期望更為中性的應力。線條606為做為一些AHM之應力之函數的折射率線條,其顯示當折射率、或選擇性增加時,內應力大致增加。對於連續波功率而言,線條604顯示當LF功率增加時,折射率減少,而內壓縮應力增加。兩者皆為不期望的,其表示對於連續波技術而言期望較低的LF功率。反之,線條602顯示對於脈衝式LF功率而言,當LF功率增加時,折射率及應力增加。然而,線條602比線條606更陡,表示當脈衝式LF功率增加時,比起線條606,折射率以更高之相對內應力之比率增加。因此,雖然增加LF功率使應力增加,但應力上的增加被較一般更大之選擇性上的增加所彌補。
以下表格呈現依據此處揭示之一些實施例所沉積的兩不同膜之諸多膜性質。3625W製程藉由使LF功率在0W與3625W之間脈動、同時使基板暴露至44 sccm之C2
H2
及1466 sccm之氦的製程氣體,來沉積AHM膜。6000W製程藉由使LF功率在0W與6000W之間脈動、同時使基板暴露至18 sccm之C2
H2
及1482 sccm之氦的製程氣體,來沉積AHM膜。範圍%NU為沉積之不均勻性的量測值。H%為利用氫前向散射所量測之氫在AHM中的百分比。XRR密度為藉由X光反射率所量測的密度。
設備
製程 | 沉積速率 (Å/ 分 ) | RI @ 633 nm | 應力 (MPa) | 範圍 %NU | 硬度 (MPa) | 模數 (MPa) | H% (HFS) | XRR 密度 (g/cm3 ) |
3625W | 872.2 | 2.307 | -632.0 | 3.6 | 15.6 | 145.3 | 25% | 1.88 |
6000W | 764.0 | 2.334 | -695.8 | 3.3 | 16.5 | 152.0 | 24% | 1.90 |
實施例可在電漿增強化學氣相沉積(PECVD)反應器中實施。如此反應器可採取許多不同的形式。諸多實施例可相容於現有的半導體處理設備—尤其是例如可從Lam Research Corporation取得之SequelTM
或 VectorTM
反應器腔室的PECVD反應器。諸多實施例可在多站或單站工具上實施。在具體實施例中,使用具有4站沉積方案的300mm Lam VectorTM
工具或具有6站沉積方案的200mm SequelTM
工具。
一般而言,設備將包含各包括一或更多站的一或更多腔室或反應器。腔室將容納一或更多晶圓,且適用於晶圓處理。一或更多腔室藉由防止旋轉、震動、或其他擾動,而將晶圓維持在經定義的位置或複數位置。在一些實施例中,於製程期間在腔室內將經歷AHM沉積的晶圓從一站轉移至另一站。舉例而言,2000Å AHM沉積可完全發生在ㄧ站,或依據諸多實施例,可在四個站之各者沉積500Å的膜。或者,總膜厚度的任何其他部分可在任何數目的站沉積。在其中沉積多於一個AHM的諸多實施例中,可使用多於一站來沉積各AHM層。在處理期間,各晶圓由台座、晶圓卡盤、及/或其他晶圓固持裝置固持於定位。對於其中晶圓將被加熱的若干操作而言,該設備可包含例如加熱板的加熱器。
圖7示意性顯示製程站700的實施例,製程站700可用以利用電漿增強化學氣相沉積(PECVD)沉積材料。為了簡化起見,將製程站700繪示為具有製程腔室本體702以維持低壓環境的獨立製程站。然而,吾人將察知,共同製程工具環境中可包含複數製程站700。再者,吾人將察知,在一些實施例中,包含以下詳細討論者的製程站700之一或更多硬體參數可由一或更多電腦控制器加以程式化調整。
製程站700與反應物輸送系統701流體連通,以輸送製程氣體至分配噴淋頭706。反應物輸送系統701包含用於調合及/或調節輸送至噴淋頭706之製程氣體的混合容器704。一或更多混合容器入口閥720可控制製程氣體往混合容器704的導入。類似地,噴淋頭入口閥705可控制製程氣體往噴淋頭706的導入。
舉例而言,圖7的實施例包含汽化點703,以使待供給至混合容器704的液態反應物汽化。在一些實施例中,汽化點703可為經加熱的汽化器。從如此汽化器產生的反應物蒸氣可能在下游的輸送管線中凝結。不相容之氣體對凝結之反應物的暴露可能產生小顆粒。這些小顆粒可能阻塞管線、妨礙閥運作、汙染基板等。處置這些問題的一些方法涉及清掃及/或排空輸送管線以去除殘留的反應物。然而,清掃輸送管線可能增加製程站循環時間、使製程站產能降低。因此,在一些實施例中,可使汽化點703下游的輸送管線成為伴熱式。在一些實例中,混合容器704亦可為伴熱式。在一非限制性實例中,汽化點703下游的管線具有從約100℃延伸至混合容器704處之約150℃的漸增溫度曲線。
在一些實施例中,反應物液體可在液體注射器被汽化。舉例而言,液體注射器可在混合容器的上游注射液體反應物的脈衝至載體氣體流中。在一情形中,液體注射器可藉由使液體從較高壓力瞬變至較低壓力而將反應物汽化。在另一情形中,液體注射器可將液體霧化成分散的微液滴,該等微液滴後續在經加熱之輸送管線中汽化。吾人將察知,較小的液滴可比較大的液滴更快汽化,減少了液體注射與完全汽化之間的延遲。較快汽化可減少自汽化點703起的下游配管之長度。在一情形中,液體注射器可直接安裝於混合容器704。在另一情形中,液體注射器可直接安裝於噴淋頭706。
在一些實施例中,汽化點703上游的液體流控制器可設置成用於控制汽化用之液體的質量流量、及朝向製程站700的輸送。舉例而言,液體流控制器(LFC)可包含位於LFC下游的熱質流計(mass flow meter, MFM)。接著可回應由與MFM電連通之比例-積分-微分(PID)控制器提供的反饋控制訊號而調整LFC的柱塞閥。然而,可能需要一秒以上來使用反饋控制使液體流穩定化。此可能延長施用液體反應物的時間。因此,在一些實施例中,LFC可動態地在反饋控制模式與直接控制模式之間切換。在一些實施例中,LFC可藉由停用LFC之感測管及PID控制器而動態地從反饋控制模式切換至直接控制模式。
噴淋頭706朝基板712分配製程氣體。在圖7所示的實施例中,基板712位於噴淋頭706下方,且顯示為置放在台座708上。吾人將察知,噴淋頭706可具有任何適合的形狀,且可具有任何合適的用於分配製程氣體至基板712之埠口數目及配置。
在一些實施例中,微容積707係位於噴淋頭706下方。在微容積中而非在製程站之整體容積中執行ALD及/或CVD製程可減少反應物暴露及掃除時間、可減少用於改變製程條件(例如壓力、溫度等)的時間、可限制製程站機器人對製程氣體的暴露等等。例示微容積尺寸包含但不限於0.1升與2升之間的容積。此微容積亦影響生產量。雖然每循環的沉積速率下降,但週期時間亦同時減少。在若干情形中,針對給定的目標膜厚度,後者的效應巨大到足以改善模組的整體產量。
在一些實施例中,台座708可升高或降低以使基板暴露至微容積707及/或改變微容積707的容積。舉例而言,在基板轉移階段中,台座708可降低以容許基板712裝載至台座708上。在沉積製程階段期間,台座708可升高以將基板712定位於微容積707內。在一些實施例中,微容積707可完全包圍基板712以及一部分的台座708,以在沉積製程期間產生一高流動阻抗區域。
可選地,台座708可在沉積製程的部分期間降低及/或升高,以調變微容積707內的製程壓力、反應物濃度等。在其中製程腔室本體702於沉積製程期間維持在基礎壓力的一情形中,降低台座708可容許微容積707排空。微容積對製程腔室容積的例示比例包含但不限於1:700與1:10之間的容積比。吾人將察知,在一些實施例中,台座高度可藉由合適的電腦控制器以程式化方式加以調整。
在另一情形中,調整台座708的高度可容許在沉積製程中包含之電漿啟動及/或處理循環期間改變電漿密度。在沉積製程階段的完結,台座708可在另一基板轉移階段期間降低,以容許從台座708移除基板712。
雖然此處所述的例示微容積變化例提及高度可調整的台座,但吾人將察知,在一些實施例中,可相對台座708調整噴淋頭706的位置,以改變微容積707的容積。再者,吾人將察知,台座708及/或噴淋頭706的垂直位置可藉由任何適當的機構在本揭示內容的範疇內加以改變。在一些實施例中,台座708可包含用於轉動基板712之方向的旋轉軸。吾人將察知,在一些實施例中,一或更多個這些例示調整可藉由一或更多合適的電腦控制器以程式化的方式執行。
回到圖7所示的實施例,噴淋頭706及台座708與RF電源714及匹配網路716電性連通,以對電漿供電。在一些實施例中,電漿能量可藉由控制製程站壓力、氣體濃度、RF源功率、RF源頻率、及電漿功率脈衝時序的其中一或更多者來加以控制。舉例而言,RF電源714及匹配網路716可在任何合適功率下運作,以形成具有所需之自由基物種組成的電漿。合適功率的實例係包含於以上內容中。類似地,RF電源714可提供具有任何合適頻率的RF功率。在一些實施例中,RF電源714可配置成彼此獨立地控制高頻及低頻RF功率源。例示低頻RF頻率可包含但不限於50 kHz與700 kHz之間的頻率。例示高頻RF頻率可包含但不限於1.8 MHz與2.45 GHz之間的頻率。吾人將察知,任何合適的參數可分開或連續調變,以針對表面反應提供電漿能量。在一非限制性實例中,可相對於連續供電的電漿,使電漿功率間歇式脈動,以減少與基板表面的離子轟擊。
在一些實施例中,電漿可藉由一或更多電漿監視器加以原位監控。在一情形中,電漿功率可藉由一或更多電壓、電流感測器(例如VI探針)加以監控。在另一情形中,電漿密度及/或製程氣體濃度可藉由一或更多光發射光譜(OES)感測器加以監控。在一些實施例中,一或更多電漿參數可基於來自如此原位電漿監控之量測值而以程式化方式調整。舉例而言。OES感測器可用於反饋迴路中,以提供電漿功率的程式化控制。吾人將察知,在一些實施例中,其他監視器可用以監控電漿及其他製程特性。如此監視器可包含但不限於紅外線(IR)監視器、聲學監視器、及壓力轉換器。
在一些實施例中,電漿可經由輸入/輸出控制器(IOC)指令序列加以控制。在一實例中,設定用於電漿製程階段之電漿條件的指令可包含在沉積製程配方的對應電漿啟動配方階段中。在一些情形中,製程配方階段可依序排列,使得所有用於沉積製程階段的指令皆與該製程階段同時執行。在一些實施例中,用於設定一或更多電漿參數的指令可包含在電漿製程階段之前的配方階段中。舉例而言,第一配方階段可包含用於設定惰性及/或碳氫化合物前驅物氣體之流速的指令、用於將電漿產生器設定至功率設定點的指令、及用於第一配方階段的時間延遲指令。後續的第二配方階段可包含用於啟用電漿產生器的指令及用於第二配方階段的時間延遲指令。第三配方指令可包含用於停用電漿產生器的指令及用於第三配方階段的時間延遲指令。吾人將察知,這些配方階段可以本揭示內容之範疇內的任何合適方式進一步細分及/或重複。
在一些實施例中,台座708可經由加熱器710加以溫控。再者,在一些實施例中,用於沉積製程站700的壓力控制可由蝴蝶閥718提供。如圖7的實施例所示,蝴蝶閥718調節由下游真空泵(未顯示)所提供的真空。然而,在一些實施例中,製程站700的壓力控制亦可藉由改變引入製程站700之一或更多氣體的流速而調整。
圖8顯示具有入站負載鎖802及出站負載鎖804的多站處理工具800之實施例的示意圖,該入站負載鎖802及出站負載鎖804其中一或二者可包含遠端電漿源。在大氣壓力下的機器人806係配置成從透過傳送盒808裝載之晶舟將晶圓經大氣埠810移動至入站負載鎖802中。晶圓由機器人806放置在入站負載鎖802中的台座812上,大氣埠810關閉,且對負載鎖抽氣。在入站負載鎖802包含遠端電漿源的情況下,晶圓可在被引入處理腔室814之前於負載鎖中暴露至遠端電漿處理。再者,舉例而言,亦可再入站負載鎖802中將晶圓加熱,以去除濕氣及吸附的氣體。接著,通往處理腔室814的腔室傳送埠816開啟,且另一機器人(未顯示)將晶圓置入反應器中、顯示於處理用反應器中之第一站的台座上。雖然圖8繪示的實施例包含負載鎖,但吾人將察知,在一些實施例中,可設置晶圓往製程站中的直接進入。
所繪示的處理腔室814包含四個製程站,在圖8內的實施例中編號為從1至4。各站具有經加熱的台座(對於站1而言顯示於818)、及氣體管線入口。吾人將察知,在一些實施例中,各製程站可具有不同或多個目的。雖然所繪示的處理腔室814包含四個站,但吾人將察知,依據本揭示內容的處理腔室可具有任何合適數目的站。舉例而言,在一些實施例中,處理腔室可具有五個或更多站,而在其他實施例中,處理腔室可具有三個或更少站。
圖8亦繪示用於在處理腔室814內轉移晶圓的晶圓搬運系統890之實施例。在一些實施例中,晶圓搬運系統890可在諸多製程站之間及/或製程站與負載鎖之間轉移晶圓。吾人將察知,可採用任何合適的晶圓搬運系統。非限制性實例包含晶圓旋轉架及晶圓搬運機器人。圖8亦繪示用以控制處理工具800之製程條件及硬體狀態的系統控制器850之實施例。系統控制器850可包含一或更多記憶體裝置856、一或更多大量儲存裝置854、及一或更多處理器852。處理器852可包含CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器850控制處理工具800的所有活動。系統控制器850執行儲存在大量儲存裝置854中、載入於記憶體裝置856、且在處理器852上執行的系統控制軟體858。系統控制軟體858可包含用於控制由處理工具800執行之特定製程的時序、氣體混合、腔室及/或站壓力、腔室及/或站溫度、排淨條件及時序、晶源溫度、RF功率位準、RF頻率、基板、台座、卡盤及/或基座位置、及其他參數。系統控制軟體858可以任何合適方式配置。舉例而言,可寫入諸多處理工具元件副程式或控制項目,以控制依據所揭示方法執行諸多處理工具製程所必須的處理工具元件之操作。系統控制軟體858可以任何合適的案腦可讀程式語言加以編碼。
在一些實施例中,系統控制軟體858可包含用於控制上述諸多參數的輸入/輸出控制(IOC)指令序列。在一些實施例中,可採用儲存在關聯於系統控制器850之大量儲存裝置854及/或記憶體裝置856上的其他電腦軟體及/或程式。針對此目的之程式或程式分段的實例包含基板定位程式、製程氣體控制程式、壓力控制程式、及電漿控制程式。
基板定位程式可包含用以將基板裝載於台座818上且用以控制基板與處理工具800之其他部件之間的間距之處理工具元件用的程式碼。
製程氣體控制程式可包含用於控制氣體組成及流速、且可選地用於在沉積前使氣體流入一或更多製程站以使製程站中之壓力穩定的編碼。製程氣體控制程式可包含用於在所揭示範圍之任何者內控制氣體組成及流速的編碼。壓力控制程式可包含用於藉由調節例如製程站中之排氣系統中之節流閥、進入製程站內之氣體流等來控制製程站中之壓力的編碼。壓力控制程式可包含用於將製程站中之壓力維持在所揭示壓力範圍之任何者內的編碼。
加熱器控制程式可包含用於控制朝向用以加熱基板之加熱單元之電流的編碼。或者,加熱器控制程式可控制熱傳氣體(例如氦)朝向基板的輸送。加熱器控制程式可包含用以將基板之溫度維持在所揭示範圍之任何者內的指令
電漿控制程式可包含用於設定施加至一或更多製程站中之製程電極之RF功率位準及頻率的編碼,例如使用此處揭示的RF功率位準之任何者。電漿控制程式亦可包含用於控制各電漿暴露之持續時間的編碼。
在一些實施例中,可具有與系統控制器850相關聯的使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示、及例如指向裝置、鍵盤、觸控螢幕、麥克風等的使用者輸入裝置。
在一些實施例中,由系統控制器850調整的參數可關於製程條件。非限制性實例包含製程氣體組成及流速、溫度、壓力、電漿條件(例如RF功率位準、頻率、及暴露時間等)。可以配方的形式將這些參數提供至使用者,該配方可利用使用者介面輸入。
用於監控製程的訊號可由系統控制器850的類比及/或數位輸入連接從諸多處理工具感測器提供。用於控制製程的訊號可在處理工具800的類比及數位輸出連接上輸出。可受監控之處理工具感測器的非限制性實例包含質流控制器、壓力感測器(例如壓力計)、熱電偶等。適當程式化的反饋及控制演算法可與來自這些感測器的資料一起使用,以維持製程條件。
任何合適的腔室皆可用以實施所揭示的實施例。例示沉積設備包含但不限於各可從加州Fremont之Lam Research Corp.取得的ALTUS®
產品家族、VECTOR® 產品家族、及/或SPEED® 產品家族、或諸多商業上可取得之處理系統的任何者。二或更多站可執行相同的功能。類似地,二或更多站可執行不同的功能。各站可設計/配置成依需要執行特定功能/方法。
圖9為適用於依據若干實施例進行薄膜沉積製程之處理系統的方塊圖。系統900包含轉移模組903。轉移模組903提供潔淨、加壓的環境,以使受處理基板在其移動於諸多反應器模組之間時的汙染風險減至最小。安裝在轉移模組903上的是兩個多站反應器909及910,其各能夠依據若干實施例執行原子層沉積(ALD)及/或化學氣相沉積(CVD)。反應器909及910可包含可依據所揭示實施例按順序或不按順序執行操作的複數站911、913、915、917。該等站可包含經加熱的台座或基板支撐件、一或更多氣體入口或噴淋頭或分配板。
亦可安裝在轉移模組903上的為一或更多單站或多站模組907,其能夠執行電漿或化學(非電漿)預清潔、或相關於所揭示方法而敘述的任何其他製程。在一些情形中,模組907可用於諸多處理,以例如製備用於沉積製程的基板。模組907亦可設計/配置成執行諸多其他製程,例如蝕刻或拋光。系統900亦包含一或更多晶圓來源模組901,其中晶圓在處理之前及之後係儲存在該來源模組901。大氣轉移腔室919中的大氣機器人(未顯示)可先從來源模組901移除晶圓送至負載鎖921。轉移模組903中的晶圓轉移裝置(通常為機器手臂單元)將晶圓從負載鎖921移動至安裝於轉移模組903上的多個模組,並在該等模組之間移動晶圓。
在諸多實施例中,系統控制器929係用以在沉積期間控制製程條件。控制器929通常將包含一或更多記憶體裝置及一或更多處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
控制器929可控制沉積設備的所有活動。系統控制器929執行系統控制軟體,該系統控制軟體包含用於控制特定製程之時序、氣體之混合、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓卡盤或台座位置、及其他參數的指令集。在一些實施例中,可採用儲存在關聯於控制器929之記憶體裝置上的其他電腦程式。
通常,將有關聯於控制器929的使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示、及例如指向裝置、鍵盤、觸控螢幕、麥克風等的使用者輸入裝置。
系統控制邏輯可以任何合適的方式加以配置。一般而言,該邏輯可設計或配置在硬體及/或軟體中。用於控制驅動電路的指令可為硬編碼或作為軟體而提供。該指令可藉由「程式設計」而提供。如此程式設計係理解為包含任何形式的邏輯,包括數位訊號處理器中的硬編碼邏輯、特定應用積體電路、及其他具有特定演算法之實施為硬體的裝置。程式設計亦理解為包含可在一般目的處理器上執行的軟體或韌體指令。系統控制軟體可以任何合適的電腦可讀程式語言加以編碼。
用於控制含鍺還原劑脈衝、氫流、及含鎢前驅物脈衝、與製程序列中之其它製程的電腦程式碼可以任何習知的電腦可讀程式語言編寫:例如組合語言、C、C++、Pascal語言、Fortran語言、或其他者。經編寫的目的碼或腳本係由處理器執行,以執行程式中所指定的工作。並且,如所指出,程式碼可為硬編碼。
控制器參數關於製程條件,例如製程氣體組成及流速、溫度、壓力、冷卻氣體壓力、基板溫度、及腔室壁溫度。這些參數係以配方的形式提供給使用者,且可利用使用者介面輸入。用於監控製程的訊號可藉由系統控制器929的類比及/或數位輸入連接而提供。用於控制製程的訊號係於沉積系統900的類比及數位輸出連接上輸出。
系統軟體可以許多不同方式加以設計或配置。舉例而言,可將諸多腔室元件副程式或控制物件寫入,以依據所揭示實施例控制對於執行沉積製程(及一些情形中的其他製程)必須的腔室元件之操作。針對此目的之程式或程式分段的實例包含基板定位碼、製程氣體控制碼、壓力控制碼、及加熱器控制碼。
在一些實施例中,控制器929為系統的一部分,該系統可為上述實例的一部分。如此系統可包含半導體處理設備,包括處理工具或複數工具、腔室或複數腔室、處理用平台或複數平台、及/或特定處理元件(晶圓台座、氣體流系統等)。這些系統可與電子元件結合,以在半導體晶圓或基板之處理之前、期間、及之後控制其操作。該等電子元件可稱為「控制器」,其可控制系統或複數系統的諸多元件或子部件。取決於處理設備及/或系統類型,可將控制器929以程式設計成控制任何此處揭示的製程,包括處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、一些系統中之射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、定位及操作設定、晶圓轉移進出與特定系統連接或介接的工具和其他轉移工具及/或負載鎖。
廣泛而言,控制器可定義為具有諸多積體電路、邏輯、記憶體、及/或軟體的電子設備,其接收指令、發出指令、控制操作、實行清潔操作、實行終點量測等等。積體電路可包含儲存程式指令的韌體形式之晶片、數位訊號處理器(DSP)、定義為特殊用途積體電路(ASIC)的晶片、及/或一或更多微處理器、或執行程式指令(例如軟體)的微控制器。程式指令可為以諸多個別設定(或程式檔案)之形式對控制器通訊的指令,該等個別設定(或程式檔案)定義用於在半導體晶圓上或對半導體晶圓或對系統進行特定製程的操作參數。在一些實施例中,操作參數可為製程工程師所定義成在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間完成一或更多處理步驟之配方的一部分。
在一些實施例中,控制器可為電腦的一部分或耦接至電腦,該電腦係與系統結合、耦接至系統、或以其他方式網路連接至系統、或其組合。舉例而言,控制器可在「雲端」或為晶圓廠主機電腦系統的全部或一部分,此可容許晶圓處理的遠端存取。電腦可實行對於系統的遠端存取,以監控製造操作的目前進度、檢測過去製造操作的歷史、檢測來自複數製造操作的趨勢或效能度量,用以改變目前處理的參數、用以將處理步驟設定成依循目前處理、或用以開始新的製程。在一些實例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。遠端電腦可包含實行參數及/或設定之輸入或程式設計的使用者介面,該參數及/或設定接著從遠端電腦連通至系統。在一些實例中,控制器接收資料形式的指令,該指令指明用於一或更多操作期間待執行之處理步驟之各者的參數。應理解,參數可專用於待執行之製程的類型及控制器受配置而介接或控制之工具的類型。因此如上所述,控制器可例如藉由包含以網路連接在一起且朝共同目的(例如此處所述的製程及控制)運作的一或更多分離控制器而為分散式。針對如此目的之分散式控制器的實例將為與遠端定位(例如在平台階層或作為遠端電腦之一部分)之一或更多積體電路連通的腔室上之一或更多積體電路,該等積體電路結合成控制腔室上的製程。
在不受限制的情況下,例示系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉潤洗腔室或模組、金屬鍍覆腔室或模組、清潔腔室或模組、斜角邊緣蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子佈植腔室或模組、軌道腔室或模組、及任何其他可關聯於或用於半導體晶圓之製造及/或製作的半導體處理系統。
如上所述,取決於將由工具執行的製程步驟或複數步驟,控制器可與下列其中一或更多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、鄰接工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或將晶圓容器運送往來半導體製造工廠中之工具位置及/或裝載埠的材料運送用工具。結論
雖然已針對清楚理解的目的而略加詳細說明前述實施例,但將顯而易見的,可在隨附請求項的範疇內實施若干改變及修飾。應注意可有許多實施本文實施例之製程、系統、及設備的替代方式。在其他情形中,不再詳述為人熟知的製程操作,以免不必要地使所揭示實施例模糊不清。因此,本文實施例應視為例示性且非限制性,且實施例不應限制在此處提出的細節。
102:操作
104:操作
106:操作
108:操作
110:操作
200:特徵部
223:垂直面向
225:水平面向
302:操作
304:操作
306:操作
402:時間
404:時間
410:狀態
412:碳離子
414:帶電表面
420:狀態
422:電子
424:結構
442:能量尖峰
444:能量平台
446:溫度區間
502:線條
504:線條
602:線條
604:線條
606:線條
700:製程站
701:反應物輸送系統
702:製程腔室本體
703:汽化點
704:混合容器
705:噴淋頭入口閥
706:噴淋頭
707:微容積
708:台座
710:加熱器
712:基板
714:RF電源
716:匹配網路
718:蝴蝶閥
720:混合容器入口閥
800:處理工具
802:入站負載鎖
804:出站負載鎖
806:機器人
808:傳送盒
810:大氣埠
812:台座
814:處理腔室
816:腔室傳送埠
818:台座
850:系統控制器
852:處理器
854:大量儲存裝置
856:記憶體裝置
858:系統控制軟體
890:晶圓搬運系統
900:系統
901:來源模組
903:轉移模組
907:模組
909:反應器
910:反應器
911:站
913:站
915:站
917:站
919:大氣轉移腔室
921:負載鎖
929:控制器
圖1為顯示依據諸多實施例在蝕刻操作中使用可灰化硬遮罩之相關方法操作的流程圖。
圖2A及2B為圖案化可灰化硬遮罩之直線彎折的圖示。
圖3為顯示依據諸多實施例藉由調變雙射頻電漿產生器形成可灰化硬遮罩之相關方法操作的流程圖。
圖4A-C為關於使低頻(LF)功率脈動之諸多性質的圖示。
圖5顯示針對諸多實施例的作為LF功率之函數的可灰化硬遮罩之折射率的圖表。
圖6顯示針對諸多實施例的作為應力之函數的可灰化硬遮罩之折射率的圖表。
圖7顯示適用於實施諸多實施例之電漿增強化學氣相沉積(PECVD)腔室的示意圖。
圖8顯示適用於實施諸多實施例之另一電漿增強化學氣相沉積(PECVD)腔室的另一示意圖。
圖9顯示適用於實施諸多實施例之模組叢集的示意圖。
223:垂直面向
225:水平面向
Claims (25)
- 一種形成可灰化硬遮罩(AHM)膜的方法,包含: 將一半導體基板暴露至一製程氣體,該製程氣體包含一碳氫化合物前驅物氣體及氦氣,且實質上不具有任何其他惰性氣體;及 藉由一電漿增強化學氣相沉積(PECVD)製程,在該半導體基板上沉積一AHM膜,其中該製程包含: 激發由包含一高頻(HF)部分及一低頻(LF)部分的一雙射頻(RF)電漿源產生的一電漿,該HF部分具有一HF功率,且該LF部分具有一LF功率; 該HF功率在沉積期間不變,且 該LF功率係以每300mm晶圓至少約3000W及介於約10%與約75%之間之工作週期脈動。
- 如請求項1之形成可灰化硬遮罩(AHM)膜的方法,其中該碳氫化合物前驅物氣體包含具有至多約50 g/mol之分子量的化合物。
- 如請求項1之形成可灰化硬遮罩(AHM)膜的方法,其中該碳氫化合物前驅物氣體包含具有至少0.5之C:H比的化合物。
- 如請求項1之形成可灰化硬遮罩(AHM)膜的方法,其中該碳氫化合物前驅物氣體包含乙炔(C2 H2 )。
- 如請求項1之形成可灰化硬遮罩(AHM)膜的方法,其中碳氫化合物前驅物氣體具有該製程氣體之約1-2%之間的分壓。
- 如請求項1之形成可灰化硬遮罩(AHM)膜的方法,其中該LF功率係在低於或等於約2 MHz的頻率下提供。
- 如請求項1之形成可灰化硬遮罩(AHM)膜的方法,其中該LF功率係介於每300mm晶圓約3500W與約6500W之間。
- 如請求項1之形成可灰化硬遮罩(AHM)膜的方法,其中該LF功率係於至少約100Hz之頻率下脈動。
- 如請求項1之形成可灰化硬遮罩(AHM)膜的方法,其中該LF功率係於約100Hz與約1000Hz之間的頻率下脈動。
- 如請求項1之形成可灰化硬遮罩(AHM)膜的方法,其中該LF功率的工作週期介於約10%與約50%之間。
- 如請求項1之形成可灰化硬遮罩(AHM)膜的方法,其中該LF功率的工作週期介於約60%與約90%之間。
- 如請求項1之形成可灰化硬遮罩(AHM)膜的方法,其中該LF功率具有介於約200微秒與約300微秒之間之持續時間的開啟時間段。
- 如請求項1之形成可灰化硬遮罩(AHM)膜的方法,其中該方法係於一多站反應器中執行。
- 如請求項1至13其中任一者之形成可灰化硬遮罩(AHM)膜的方法,其中該AHM膜的內應力為至多約-1400 MPa。
- 如請求項1至13其中任一者之形成可灰化硬遮罩(AHM)膜的方法,其中該AHM膜的模數為至少約80 GPa。
- 如請求項1至13其中任一者之形成可灰化硬遮罩(AHM)膜的方法,其中該AHM膜的密度為至少約1.5 g/cm3 。
- 如請求項1至13其中任一者之形成可灰化硬遮罩(AHM)膜的方法,其中該AHM膜的氫濃度為至多約25原子百分比。
- 如請求項1至13其中任一者之形成可灰化硬遮罩(AHM)膜的方法,其中該AHM膜的厚度為至多約2500 nm。
- 如請求項1至13其中任一者之形成可灰化硬遮罩(AHM)膜的方法,其中一台座與一噴淋頭之間的間隙小於約20mm,該半導體基板係定位於該台座上,該製程氣體係從該噴淋頭流出。
- 如請求項1至13其中任一者之形成可灰化硬遮罩(AHM)膜的方法,更包含將所沉積的該AHM膜圖案化及蝕刻圖案化的該AHM膜,以定義該半導體基板中之該AHM膜的特徵部。
- 如請求項20之形成可灰化硬遮罩(AHM)膜的方法,更包含蝕刻該半導體基板中在該AHM膜下方的層。
- 一種形成可灰化硬遮罩(AHM)膜的方法,包含: 將一半導體基板暴露至一製程氣體,該製程氣體包含一碳氫化合物前驅物氣體及一惰性氣體;及 藉由一電漿增強化學氣相沉積(PECVD)製程,在該半導體基板上沉積一AHM膜,其中該製程包含: 激發由包含一高頻(HF)部分及一低頻(LF)部分的一雙射頻(RF)電漿源產生的一電漿,該HF部分具有一HF功率,且該LF部分具有一LF功率; 該HF功率在沉積期間不變,且 該LF功率係在每300mm晶圓至少約3000W且每工作週期之LF功率開啟時間為少於300微秒的情況下脈動。
- 如請求項22之形成可灰化硬遮罩(AHM)膜的方法,其中該LF功率的工作週期介於約10%與50%之間。
- 如請求項22之形成可灰化硬遮罩(AHM)膜的方法,其中該LF功率開啟時間介於200微秒與300微秒之間。
- 如請求項22之形成可灰化硬遮罩(AHM)膜的方法,其中該LF功率係於至少100Hz的頻率下脈動。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962853950P | 2019-05-29 | 2019-05-29 | |
US62/853,950 | 2019-05-29 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202113121A true TW202113121A (zh) | 2021-04-01 |
Family
ID=73552275
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109117827A TW202113121A (zh) | 2019-05-29 | 2020-05-28 | 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩 |
Country Status (5)
Country | Link |
---|---|
US (1) | US11837441B2 (zh) |
KR (1) | KR20220002748A (zh) |
CN (1) | CN113891954A (zh) |
TW (1) | TW202113121A (zh) |
WO (1) | WO2020243342A1 (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW202113121A (zh) | 2019-05-29 | 2021-04-01 | 美商蘭姆研究公司 | 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩 |
KR20230121962A (ko) * | 2020-12-18 | 2023-08-22 | 램 리써치 코포레이션 | 넓은 갭 전극 간격을 갖는 저압 조건들에서 고 선택도, 저 응력 및 저 수소 탄소 하드 마스크들 |
Family Cites Families (202)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2146448A (en) | 1936-05-06 | 1939-02-07 | Du Pont | Acetylene solution |
US2405693A (en) | 1940-10-15 | 1946-08-13 | Du Pont | Acetylene solutions |
SU382671A1 (ru) | 1971-03-25 | 1973-05-25 | Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда | Способ наполнения газообразным ацетиленом емкостей с растворителями |
US3816976A (en) | 1971-07-15 | 1974-06-18 | Lummus Co | Process for the purification of acetylene and ethylene |
US4209357A (en) | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
US4274841A (en) | 1980-03-28 | 1981-06-23 | Union Carbide Corporation | Acetylene recovery process and apparatus |
DE3422417A1 (de) | 1984-06-16 | 1985-12-19 | Kernforschungsanlage Jülich GmbH, 5170 Jülich | Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren |
JPH062682B2 (ja) | 1985-07-18 | 1994-01-12 | 日合アセチレン株式会社 | アセチレンの精製法およびそれに用いる装置 |
US4673589A (en) | 1986-02-18 | 1987-06-16 | Amoco Corporation | Photoconducting amorphous carbon |
JPS6446098A (en) | 1987-08-07 | 1989-02-20 | Nichigo Acetylen | Method for cleaning inside of container of dissolved acetylene |
US4863760A (en) | 1987-12-04 | 1989-09-05 | Hewlett-Packard Company | High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea; |
US4975144A (en) | 1988-03-22 | 1990-12-04 | Semiconductor Energy Laboratory Co., Ltd. | Method of plasma etching amorphous carbon films |
WO1990000243A1 (en) | 1988-07-04 | 1990-01-11 | Japan Oxygen Co., Ltd. | Condenser/evaporator |
JPH0258221A (ja) | 1988-08-23 | 1990-02-27 | Semiconductor Energy Lab Co Ltd | 炭素または炭素を主成分とするマスクを用いたエッチング方法 |
JP2687966B2 (ja) | 1990-08-20 | 1997-12-08 | 富士通株式会社 | 半導体装置の製造方法 |
JPH05508266A (ja) * | 1991-04-03 | 1993-11-18 | イーストマン・コダック・カンパニー | GaAsをドライエッチングするための高耐久性マスク |
US5470661A (en) | 1993-01-07 | 1995-11-28 | International Business Machines Corporation | Diamond-like carbon films from a hydrocarbon helium plasma |
GB2275364B (en) | 1993-02-18 | 1996-10-16 | Northern Telecom Ltd | Semiconductor etching process |
US5261250A (en) | 1993-03-09 | 1993-11-16 | Polycold Systems International | Method and apparatus for recovering multicomponent vapor mixtures |
EP0653501B1 (en) | 1993-11-11 | 1998-02-04 | Nissin Electric Company, Limited | Plasma-CVD method and apparatus |
JPH07243064A (ja) | 1994-01-03 | 1995-09-19 | Xerox Corp | 基板清掃方法 |
US6030591A (en) | 1994-04-06 | 2000-02-29 | Atmi Ecosys Corporation | Process for removing and recovering halocarbons from effluent process streams |
EP0680072B1 (en) | 1994-04-28 | 2003-10-08 | Applied Materials, Inc. | A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling |
JPH08152262A (ja) | 1994-11-29 | 1996-06-11 | Kawasaki Steel Corp | 希ガス分離プロセス用の循環吸着装置 |
US5670066A (en) | 1995-03-17 | 1997-09-23 | Lam Research Corporation | Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated |
US5968379A (en) | 1995-07-14 | 1999-10-19 | Applied Materials, Inc. | High temperature ceramic heater assembly with RF capability and related methods |
US5792269A (en) | 1995-10-31 | 1998-08-11 | Applied Materials, Inc. | Gas distribution for CVD systems |
GB9522476D0 (en) | 1995-11-02 | 1996-01-03 | Boc Group Plc | Method and vessel for the storage of gas |
US5985103A (en) | 1995-12-19 | 1999-11-16 | Micron Technology, Inc. | Method for improved bottom and side wall coverage of high aspect ratio features |
US5948704A (en) | 1996-06-05 | 1999-09-07 | Lam Research Corporation | High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
EP0990061B1 (de) | 1997-06-16 | 2006-01-04 | Robert Bosch Gmbh | Verfahren und einrichtung zum vakuumbeschichten eines substrates |
US6150719A (en) | 1997-07-28 | 2000-11-21 | General Electric Company | Amorphous hydrogenated carbon hermetic structure and fabrication method |
US6258170B1 (en) | 1997-09-11 | 2001-07-10 | Applied Materials, Inc. | Vaporization and deposition apparatus |
US6035803A (en) | 1997-09-29 | 2000-03-14 | Applied Materials, Inc. | Method and apparatus for controlling the deposition of a fluorinated carbon film |
US6041734A (en) | 1997-12-01 | 2000-03-28 | Applied Materials, Inc. | Use of an asymmetric waveform to control ion bombardment during substrate processing |
TW505984B (en) | 1997-12-12 | 2002-10-11 | Applied Materials Inc | Method of etching patterned layers useful as masking during subsequent etching or for damascene structures |
US6635185B2 (en) | 1997-12-31 | 2003-10-21 | Alliedsignal Inc. | Method of etching and cleaning using fluorinated carbonyl compounds |
US6413583B1 (en) | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6387819B1 (en) | 1998-04-29 | 2002-05-14 | Applied Materials, Inc. | Method for etching low K dielectric layers |
JP3568394B2 (ja) | 1998-07-07 | 2004-09-22 | 独立行政法人 科学技術振興機構 | 低抵抗n型ダイヤモンドの合成法 |
US6800571B2 (en) | 1998-09-29 | 2004-10-05 | Applied Materials Inc. | CVD plasma assisted low dielectric constant films |
US6331480B1 (en) | 1999-02-18 | 2001-12-18 | Taiwan Semiconductor Manufacturing Company | Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material |
FR2790762B1 (fr) | 1999-03-09 | 2001-06-01 | Centre Nat Rech Scient | Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede |
JP4789035B2 (ja) | 1999-03-26 | 2011-10-05 | 独立行政法人科学技術振興機構 | n型ダイヤモンドを用いた半導体デバイス |
EP1179621A4 (en) | 1999-03-26 | 2007-12-19 | Japan Science & Tech Agency | SEMICONDUCTOR DIAMOND OF N-TYPE AND METHOD FOR THE PRODUCTION THEREOF |
US6617553B2 (en) | 1999-05-19 | 2003-09-09 | Applied Materials, Inc. | Multi-zone resistive heater |
US6367413B1 (en) | 1999-06-15 | 2002-04-09 | Tokyo Electron Limited | Apparatus for monitoring substrate biasing during plasma processing of a substrate |
US6310366B1 (en) | 1999-06-16 | 2001-10-30 | Micron Technology, Inc. | Retrograde well structure for a CMOS imager |
US6114259A (en) | 1999-07-27 | 2000-09-05 | Lsi Logic Corporation | Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage |
US6241793B1 (en) | 1999-08-02 | 2001-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd | Cold trap equipped with curvilinear cooling plate |
US6716758B1 (en) | 1999-08-25 | 2004-04-06 | Micron Technology, Inc. | Aspect ratio controlled etch selectivity using time modulated DC bias voltage |
US6537741B2 (en) | 1999-11-24 | 2003-03-25 | Nexpress Solutions Llc | Fusing belt for applying a protective overcoat to a photographic element |
US6286321B1 (en) | 2000-01-03 | 2001-09-11 | Thermo Savant, Inc. | Condenser cold trap unit with separate fraction collection feature |
US6422918B1 (en) | 2000-01-04 | 2002-07-23 | Advanced Micro Devices, Inc. | Chemical-mechanical polishing of photoresist layer |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US6478924B1 (en) | 2000-03-07 | 2002-11-12 | Applied Materials, Inc. | Plasma chamber support having dual electrodes |
US6319299B1 (en) | 2000-03-30 | 2001-11-20 | Vanguard International Semiconductor Corporation | Adjustable cold trap with different stages |
US6984591B1 (en) | 2000-04-20 | 2006-01-10 | International Business Machines Corporation | Precursor source mixtures |
JP2002194547A (ja) | 2000-06-08 | 2002-07-10 | Applied Materials Inc | アモルファスカーボン層の堆積方法 |
US20040224504A1 (en) | 2000-06-23 | 2004-11-11 | Gadgil Prasad N. | Apparatus and method for plasma enhanced monolayer processing |
JP4559595B2 (ja) | 2000-07-17 | 2010-10-06 | 東京エレクトロン株式会社 | 被処理体の載置装置及びプラズマ処理装置 |
US6562190B1 (en) | 2000-10-06 | 2003-05-13 | Lam Research Corporation | System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber |
JP4791636B2 (ja) | 2001-01-15 | 2011-10-12 | 日華化学株式会社 | ハイブリッドパルスプラズマ蒸着装置 |
US6834656B2 (en) | 2001-05-23 | 2004-12-28 | Axcelis Technology, Inc. | Plasma process for removing polymer and residues from substrates |
US6645848B2 (en) | 2001-06-01 | 2003-11-11 | Emcore Corporation | Method of improving the fabrication of etched semiconductor devices |
US20030044532A1 (en) | 2001-08-29 | 2003-03-06 | Shyh-Dar Lee | Process for preparing porous low dielectric constant material |
DE10153310A1 (de) | 2001-10-29 | 2003-05-22 | Infineon Technologies Ag | Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte |
US7091137B2 (en) | 2001-12-14 | 2006-08-15 | Applied Materials | Bi-layer approach for a hermetic low dielectric constant layer for barrier applications |
US7226853B2 (en) | 2001-12-26 | 2007-06-05 | Applied Materials, Inc. | Method of forming a dual damascene structure utilizing a three layer hard mask structure |
US6777349B2 (en) | 2002-03-13 | 2004-08-17 | Novellus Systems, Inc. | Hermetic silicon carbide |
US6541397B1 (en) | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US6936551B2 (en) | 2002-05-08 | 2005-08-30 | Applied Materials Inc. | Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices |
WO2003095193A1 (fr) | 2002-05-09 | 2003-11-20 | Riken | Matériau de film mince et procédé de préparation associé |
US6835663B2 (en) | 2002-06-28 | 2004-12-28 | Infineon Technologies Ag | Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity |
US20040018750A1 (en) | 2002-07-02 | 2004-01-29 | Sophie Auguste J.L. | Method for deposition of nitrogen doped silicon carbide films |
US6740535B2 (en) | 2002-07-29 | 2004-05-25 | International Business Machines Corporation | Enhanced T-gate structure for modulation doped field effect transistors |
US6939808B2 (en) | 2002-08-02 | 2005-09-06 | Applied Materials, Inc. | Undoped and fluorinated amorphous carbon film as pattern mask for metal etch |
US6884733B1 (en) | 2002-08-08 | 2005-04-26 | Advanced Micro Devices, Inc. | Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation |
JP4015510B2 (ja) | 2002-09-09 | 2007-11-28 | 日本エー・エス・エム株式会社 | 半導体集積回路の多層配線用層間絶縁膜及びその製造方法 |
US6767824B2 (en) | 2002-09-23 | 2004-07-27 | Padmapani C. Nallan | Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask |
US6787452B2 (en) | 2002-11-08 | 2004-09-07 | Chartered Semiconductor Manufacturing Ltd. | Use of amorphous carbon as a removable ARC material for dual damascene fabrication |
JP2004238649A (ja) | 2003-02-04 | 2004-08-26 | National Institute Of Advanced Industrial & Technology | 炭素系膜被覆部材の製造方法及び装置 |
US20040180551A1 (en) | 2003-03-13 | 2004-09-16 | Biles Peter John | Carbon hard mask for aluminum interconnect fabrication |
FR2853313B1 (fr) | 2003-04-04 | 2005-05-06 | Air Liquide | Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede |
US7205228B2 (en) | 2003-06-03 | 2007-04-17 | Applied Materials, Inc. | Selective metal encapsulation schemes |
US7041600B2 (en) | 2003-06-30 | 2006-05-09 | International Business Machines Corporation | Methods of planarization |
US7030023B2 (en) | 2003-09-04 | 2006-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for simultaneous degas and baking in copper damascene process |
US6967405B1 (en) | 2003-09-24 | 2005-11-22 | Yongsik Yu | Film for copper diffusion barrier |
CN1879238B (zh) | 2003-11-13 | 2010-04-28 | 皇家飞利浦电子股份有限公司 | 包括保护性阻挡层叠层的电子器件 |
JP4725085B2 (ja) | 2003-12-04 | 2011-07-13 | 株式会社豊田中央研究所 | 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法 |
US7803705B2 (en) | 2004-01-13 | 2010-09-28 | Tokyo Electron Limited | Manufacturing method of semiconductor device and film deposition system |
US7064078B2 (en) | 2004-01-30 | 2006-06-20 | Applied Materials | Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme |
JP4494824B2 (ja) | 2004-02-24 | 2010-06-30 | 株式会社クラレ | 表示装置用フィルムの製造方法 |
US7638440B2 (en) | 2004-03-12 | 2009-12-29 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for etch hardmask application |
WO2005087974A2 (en) | 2004-03-05 | 2005-09-22 | Applied Materials, Inc. | Cvd processes for the deposition of amorphous carbon films |
JP5113982B2 (ja) | 2004-04-23 | 2013-01-09 | トヨタ自動車株式会社 | 金属炭化物粒子が分散した炭素複合材料の製造方法 |
US7384693B2 (en) | 2004-04-28 | 2008-06-10 | Intel Corporation | Diamond-like carbon films with low dielectric constant and high mechanical strength |
US7288484B1 (en) | 2004-07-13 | 2007-10-30 | Novellus Systems, Inc. | Photoresist strip method for low-k dielectrics |
US7220982B2 (en) | 2004-07-27 | 2007-05-22 | Micron Technology, Inc. | Amorphous carbon-based non-volatile memory |
US7381291B2 (en) | 2004-07-29 | 2008-06-03 | Asm Japan K.K. | Dual-chamber plasma processing apparatus |
KR100704470B1 (ko) | 2004-07-29 | 2007-04-10 | 주식회사 하이닉스반도체 | 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법 |
US7202127B2 (en) | 2004-08-27 | 2007-04-10 | Micron Technology, Inc. | Methods of forming a plurality of capacitors |
US7271106B2 (en) | 2004-08-31 | 2007-09-18 | Micron Technology, Inc. | Critical dimension control for integrated circuits |
US7314506B2 (en) | 2004-10-25 | 2008-01-01 | Matheson Tri-Gas, Inc. | Fluid purification system with low temperature purifier |
US7335980B2 (en) | 2004-11-04 | 2008-02-26 | International Business Machines Corporation | Hardmask for reliability of silicon based dielectrics |
US7202176B1 (en) | 2004-12-13 | 2007-04-10 | Novellus Systems, Inc. | Enhanced stripping of low-k films using downstream gas mixing |
KR20070107017A (ko) | 2004-12-30 | 2007-11-06 | 어플라이드 머티어리얼스, 인코포레이티드 | 트리밍과 호환되는 라인 에지 조도 감소 방법 |
US7235478B2 (en) | 2005-01-12 | 2007-06-26 | Intel Corporation | Polymer spacer formation |
US7371461B2 (en) | 2005-01-13 | 2008-05-13 | International Business Machines Corporation | Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics |
KR20060098522A (ko) | 2005-03-03 | 2006-09-19 | 삼성전자주식회사 | 유기 박막 트랜지스터 표시판 및 그 제조 방법 |
US7638058B2 (en) | 2005-04-07 | 2009-12-29 | Matheson Tri-Gas | Fluid storage and purification method and system |
EP1720072B1 (en) | 2005-05-01 | 2019-06-05 | Rohm and Haas Electronic Materials, L.L.C. | Compositons and processes for immersion lithography |
JP5203575B2 (ja) | 2005-05-04 | 2013-06-05 | ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. | コーティング組成物 |
US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
KR100622268B1 (ko) | 2005-07-04 | 2006-09-11 | 한양대학교 산학협력단 | ReRAM 소자용 다층 이원산화박막의 형성방법 |
US20070031609A1 (en) | 2005-07-29 | 2007-02-08 | Ajay Kumar | Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same |
US7323401B2 (en) | 2005-08-08 | 2008-01-29 | Applied Materials, Inc. | Semiconductor substrate process using a low temperature deposited carbon-containing hard mask |
US7572572B2 (en) | 2005-09-01 | 2009-08-11 | Micron Technology, Inc. | Methods for forming arrays of small, closely spaced features |
US20070059913A1 (en) | 2005-09-15 | 2007-03-15 | King Sean W | Capping layer to reduce amine poisoning of photoresist layers |
US7432210B2 (en) | 2005-10-05 | 2008-10-07 | Applied Materials, Inc. | Process to open carbon based hardmask |
JP5319868B2 (ja) | 2005-10-17 | 2013-10-16 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US7399712B1 (en) | 2005-10-31 | 2008-07-15 | Novellus Systems, Inc. | Method for etching organic hardmasks |
US8664124B2 (en) * | 2005-10-31 | 2014-03-04 | Novellus Systems, Inc. | Method for etching organic hardmasks |
US20070125762A1 (en) | 2005-12-01 | 2007-06-07 | Applied Materials, Inc. | Multi-zone resistive heater |
US20070134917A1 (en) | 2005-12-13 | 2007-06-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Partial-via-first dual-damascene process with tri-layer resist approach |
KR100735750B1 (ko) | 2005-12-15 | 2007-07-06 | 삼성전자주식회사 | 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들 |
US8110493B1 (en) * | 2005-12-23 | 2012-02-07 | Novellus Systems, Inc. | Pulsed PECVD method for modulating hydrogen content in hard mask |
US7381644B1 (en) | 2005-12-23 | 2008-06-03 | Novellus Systems, Inc. | Pulsed PECVD method for modulating hydrogen content in hard mask |
TWI302349B (en) | 2006-01-04 | 2008-10-21 | Promos Technologies Inc | Metal etching process and rework method thereof |
US20070202640A1 (en) | 2006-02-28 | 2007-08-30 | Applied Materials, Inc. | Low-k spacer integration into CMOS transistors |
US7645357B2 (en) | 2006-04-24 | 2010-01-12 | Applied Materials, Inc. | Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency |
US20070245960A1 (en) | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density |
US7790047B2 (en) | 2006-04-25 | 2010-09-07 | Applied Materials, Inc. | Method for removing masking materials with reduced low-k dielectric material damage |
US7981810B1 (en) * | 2006-06-08 | 2011-07-19 | Novellus Systems, Inc. | Methods of depositing highly selective transparent ashable hardmask films |
US7740736B2 (en) | 2006-06-08 | 2010-06-22 | Lam Research Corporation | Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber |
KR100764343B1 (ko) | 2006-09-22 | 2007-10-08 | 주식회사 하이닉스반도체 | 비휘발성 메모리 소자 및 그 제조방법 |
KR100855855B1 (ko) | 2006-10-04 | 2008-09-01 | 주식회사 하이닉스반도체 | 비휘발성 메모리 소자 및 그 제조방법 |
US20080128907A1 (en) | 2006-12-01 | 2008-06-05 | International Business Machines Corporation | Semiconductor structure with liner |
JP5200371B2 (ja) | 2006-12-01 | 2013-06-05 | 東京エレクトロン株式会社 | 成膜方法、半導体装置及び記憶媒体 |
US7732728B2 (en) | 2007-01-17 | 2010-06-08 | Lam Research Corporation | Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor |
US7915166B1 (en) | 2007-02-22 | 2011-03-29 | Novellus Systems, Inc. | Diffusion barrier and etch stop films |
US7981777B1 (en) * | 2007-02-22 | 2011-07-19 | Novellus Systems, Inc. | Methods of depositing stable and hermetic ashable hardmask films |
US20080242912A1 (en) | 2007-03-29 | 2008-10-02 | Olivier Letessier | Methods and Apparatus for Providing a High Purity Acetylene Product |
US20080264803A1 (en) | 2007-04-20 | 2008-10-30 | Rajat Agrawal | Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide |
KR100777043B1 (ko) | 2007-05-22 | 2007-11-16 | 주식회사 테스 | 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법 |
KR100871967B1 (ko) | 2007-06-05 | 2008-12-08 | 주식회사 하이닉스반도체 | 반도체 소자의 미세 패턴 형성 방법 |
US8962101B2 (en) | 2007-08-31 | 2015-02-24 | Novellus Systems, Inc. | Methods and apparatus for plasma-based deposition |
US8236476B2 (en) | 2008-01-08 | 2012-08-07 | International Business Machines Corporation | Multiple exposure photolithography methods and photoresist compositions |
US8119853B2 (en) | 2008-01-10 | 2012-02-21 | L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Low pressure acetylene storage |
US8133819B2 (en) | 2008-02-21 | 2012-03-13 | Applied Materials, Inc. | Plasma etching carbonaceous layers with sulfur-based etchants |
JP5156445B2 (ja) | 2008-03-21 | 2013-03-06 | 岩谷瓦斯株式会社 | アセチレン吸蔵材料とアセチレン吸蔵容器及び高純度アセチレンの供給装置並びに高純度アセチレンの精製装置 |
US20090286402A1 (en) | 2008-05-13 | 2009-11-19 | Applied Materials, Inc | Method for critical dimension shrink using conformal pecvd films |
US8357264B2 (en) | 2008-05-29 | 2013-01-22 | Applied Materials, Inc. | Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator |
US7820556B2 (en) | 2008-06-04 | 2010-10-26 | Novellus Systems, Inc. | Method for purifying acetylene gas for use in semiconductor processes |
US8435608B1 (en) * | 2008-06-27 | 2013-05-07 | Novellus Systems, Inc. | Methods of depositing smooth and conformal ashable hard mask films |
US7772122B2 (en) | 2008-09-18 | 2010-08-10 | Lam Research Corporation | Sidewall forming processes |
US7955990B2 (en) | 2008-12-12 | 2011-06-07 | Novellus Systems, Inc. | Method for improved thickness repeatability of PECVD deposited carbon films |
US7803715B1 (en) | 2008-12-29 | 2010-09-28 | Shai Haimson | Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask |
AU2010209328B2 (en) | 2009-01-30 | 2015-07-02 | Ecolab Inc. | Development of an aluminum hydroxycarboxylate builder |
US7637269B1 (en) | 2009-07-29 | 2009-12-29 | Tokyo Electron Limited | Low damage method for ashing a substrate using CO2/CO-based process |
CN102001616A (zh) | 2009-08-31 | 2011-04-06 | 上海丽恒光微电子科技有限公司 | 装配和封装微型机电系统装置的方法 |
JP5675078B2 (ja) | 2009-10-13 | 2015-02-25 | 富士フイルム株式会社 | 感活性光線性または感放射線性樹脂組成物 |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US8709551B2 (en) | 2010-03-25 | 2014-04-29 | Novellus Systems, Inc. | Smooth silicon-containing films |
US20110244142A1 (en) | 2010-03-30 | 2011-10-06 | Applied Materials, Inc. | Nitrogen doped amorphous carbon hardmask |
US8288292B2 (en) | 2010-03-30 | 2012-10-16 | Novellus Systems, Inc. | Depositing conformal boron nitride film by CVD without plasma |
US9076646B2 (en) * | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US8563414B1 (en) | 2010-04-23 | 2013-10-22 | Novellus Systems, Inc. | Methods for forming conductive carbon films by PECVD |
CN102939641A (zh) | 2010-04-30 | 2013-02-20 | 应用材料公司 | 改良堆迭缺陷率的非晶碳沉积方法 |
US8361906B2 (en) * | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
US20130059448A1 (en) | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
JP5835587B2 (ja) | 2010-10-14 | 2015-12-24 | 日産化学工業株式会社 | 単分子層又は多分子層形成用組成物 |
US9155418B2 (en) | 2010-11-15 | 2015-10-13 | Conair Corporation | Brewed beverage appliance and method |
US8541311B2 (en) | 2010-12-22 | 2013-09-24 | GlobalFoundries, Inc. | Integrated circuit fabrication methods utilizing embedded hardmask layers for high resolution patterning |
TW201304162A (zh) | 2011-05-17 | 2013-01-16 | Intevac Inc | 製作太陽能電池背側點接觸的方法 |
US8778207B2 (en) | 2011-10-27 | 2014-07-15 | Applied Materials, Inc. | Plasma etch processes for boron-doped carbonaceous mask layers |
DE102012011204A1 (de) | 2012-06-06 | 2013-12-12 | Aquis Wasser-Luft-Systeme Gmbh, Lindau, Zweigniederlassung Rebstein | Reinigerkapsel |
US20130333616A1 (en) | 2012-06-18 | 2013-12-19 | Tel Solar Ag | Plasma processing system with movable chamber housing parts |
JP2012233259A (ja) | 2012-06-25 | 2012-11-29 | Tokyo Electron Ltd | アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体 |
US8986921B2 (en) | 2013-01-15 | 2015-03-24 | International Business Machines Corporation | Lithographic material stack including a metal-compound hard mask |
US9304396B2 (en) | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
US8969207B2 (en) | 2013-03-13 | 2015-03-03 | Globalfoundries Inc. | Methods of forming a masking layer for patterning underlying structures |
US8906802B2 (en) | 2013-03-15 | 2014-12-09 | Globalfoundries Inc. | Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process |
US9320387B2 (en) | 2013-09-30 | 2016-04-26 | Lam Research Corporation | Sulfur doped carbon hard masks |
US9589799B2 (en) * | 2013-09-30 | 2017-03-07 | Lam Research Corporation | High selectivity and low stress carbon hardmask by pulsed low frequency RF power |
US20150247238A1 (en) * | 2014-03-03 | 2015-09-03 | Lam Research Corporation | Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9997373B2 (en) * | 2014-12-04 | 2018-06-12 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US10170324B2 (en) * | 2014-12-04 | 2019-01-01 | Lam Research Corporation | Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch |
US9520295B2 (en) | 2015-02-03 | 2016-12-13 | Lam Research Corporation | Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems |
US9828672B2 (en) | 2015-03-26 | 2017-11-28 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
US9865459B2 (en) * | 2015-04-22 | 2018-01-09 | Applied Materials, Inc. | Plasma treatment to improve adhesion between hardmask film and silicon oxide film |
US9543148B1 (en) * | 2015-09-01 | 2017-01-10 | Lam Research Corporation | Mask shrink layer for high aspect ratio dielectric etch |
WO2018048925A1 (en) | 2016-09-06 | 2018-03-15 | Tokyo Electron Limited | Method of quasi atomic layer etching |
US20180286707A1 (en) * | 2017-03-30 | 2018-10-04 | Lam Research Corporation | Gas additives for sidewall passivation during high aspect ratio cryogenic etch |
KR102604084B1 (ko) | 2017-06-08 | 2023-11-17 | 어플라이드 머티어리얼스, 인코포레이티드 | 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들 |
US11062897B2 (en) | 2017-06-09 | 2021-07-13 | Lam Research Corporation | Metal doped carbon based hard mask removal in semiconductor fabrication |
KR20240097984A (ko) | 2018-05-03 | 2024-06-27 | 어플라이드 머티어리얼스, 인코포레이티드 | 패터닝을 위한 고품질 c 막들의 펄스형 플라즈마(dc/rf) 증착 |
CN113710829B (zh) * | 2019-03-25 | 2024-06-18 | 朗姆研究公司 | 高蚀刻选择性的低应力可灰化碳硬掩模 |
TW202113121A (zh) | 2019-05-29 | 2021-04-01 | 美商蘭姆研究公司 | 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩 |
KR20220051009A (ko) | 2019-08-30 | 2022-04-25 | 램 리써치 코포레이션 | 저압에서의 고밀도, 고모듈러스, 및 고경도 비정질 탄소 막들 |
CN115551822A (zh) | 2020-03-13 | 2022-12-30 | 朗姆研究公司 | 碳沉积前体如c2h2的稳定 |
-
2020
- 2020-05-28 TW TW109117827A patent/TW202113121A/zh unknown
- 2020-05-28 WO PCT/US2020/034971 patent/WO2020243342A1/en active Application Filing
- 2020-05-28 CN CN202080039821.XA patent/CN113891954A/zh active Pending
- 2020-05-28 KR KR1020217042951A patent/KR20220002748A/ko unknown
- 2020-05-28 US US17/595,505 patent/US11837441B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
US11837441B2 (en) | 2023-12-05 |
US20220216037A1 (en) | 2022-07-07 |
CN113891954A (zh) | 2022-01-04 |
US20240136153A1 (en) | 2024-04-25 |
KR20220002748A (ko) | 2022-01-06 |
WO2020243342A1 (en) | 2020-12-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102439391B1 (ko) | 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들 | |
JP7460727B2 (ja) | パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源 | |
US11286560B2 (en) | Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching | |
US9624578B2 (en) | Method for RF compensation in plasma assisted atomic layer deposition | |
TW201903833A (zh) | 具有原子層蝕刻重置之選擇性沉積 | |
US20210005425A1 (en) | Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials | |
TW202113121A (zh) | 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩 | |
US20230227970A1 (en) | Removal of tin oxide in chamber cleaning | |
JP7396998B2 (ja) | 炭素膜の原子層堆積 | |
JP2023514634A (ja) | 半導体用高弾性ホウ素系セラミックス | |
US20240234091A9 (en) | Depositing a carbon hardmask by high power pulsed low frequency rf | |
US20240030028A1 (en) | High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing | |
US20230357921A1 (en) | Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means | |
WO2023196846A1 (en) | Hydrogen reduction in amorphous carbon films |