KR102604084B1 - 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들 - Google Patents

하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들 Download PDF

Info

Publication number
KR102604084B1
KR102604084B1 KR1020217033975A KR20217033975A KR102604084B1 KR 102604084 B1 KR102604084 B1 KR 102604084B1 KR 1020217033975 A KR1020217033975 A KR 1020217033975A KR 20217033975 A KR20217033975 A KR 20217033975A KR 102604084 B1 KR102604084 B1 KR 102604084B1
Authority
KR
South Korea
Prior art keywords
substrate
hydrocarbon
diamond
electrostatic chuck
gas mixture
Prior art date
Application number
KR1020217033975A
Other languages
English (en)
Other versions
KR20210129276A (ko
Inventor
에스와라난드 벤카타수브라마니안
사무엘 이. 고트하임
양 양
프라밋 만나
카르틱 라마스와미
다케히토 코시자와
아비지트 바수 말릭
스리니바스 간디코타
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210129276A publication Critical patent/KR20210129276A/ko
Application granted granted Critical
Publication of KR102604084B1 publication Critical patent/KR102604084B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/272Diamond only using DC, AC or RF discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

본 개시내용의 구현예들은 일반적으로 집적 회로들의 제조에 관한 것이다. 보다 구체적으로, 본원에 설명된 구현예들은 패터닝 응용들을 위한 고밀도 막들의 증착을 위한 기술들을 제공한다. 일 구현예에서, 기판을 프로세싱하는 방법이 제공된다. 그 방법은 정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 용적부 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계를 포함한다. 기판은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지된다. 그 방법은 기판 상에 다이아몬드형 탄소 막을 증착하기 위해 제1 RF 바이어스를 정전 척에 인가함으로써 기판 레벨에서 플라즈마를 생성하는 단계를 더 포함한다. 다이아몬드형 탄소 막은 1.8 g/cc 초과의 밀도 및 -500 MPa 미만의 응력을 갖는다.

Description

하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들{HIGH-DENSITY LOW TEMPERATURE CARBON FILMS FOR HARDMASK AND OTHER PATTERNING APPLICATIONS}
[0001] 본 개시내용의 구현예들은 일반적으로 집적 회로들(integrated circuits)의 제조에 관한 것이다. 보다 구체적으로, 본원에 설명된 구현예들은 패터닝 응용들(patterning applications)을 위한 고밀도 막들의 증착을 위한 기술들을 제공한다.
[0002] 집적 회로들은 단일 칩(single chip) 상에 수백만 개의 트랜지스터들(transistors), 커패시터들(capacitors) 및 저항기들(resistors)을 포함할 수 있는 복잡한 디바이스들로 발전했다. 칩 설계들의 발전은 보다 빠른 회로 및 보다 큰 회로 밀도를 계속해서 요구하고 있다. 보다 큰 회로 밀도들을 갖는 보다 빠른 회로에 대한 요구들은 그러한 집적 회로들을 제조하는 데 사용되는 재료들에 대한 대응하는 요구들을 부과한다. 특히, 집적 회로 구성요소들의 치수들이 미크론 미만의 스케일(sub-micron scale)로 감소함에 따라, 그러한 구성요소들로부터 적합한 전기적 성능을 얻기 위해, 이제 낮은 저항률의 전도성 재료들뿐만 아니라, 낮은 유전 상수의 절연 재료들을 사용하는 것이 필요하다.
[0003] 보다 큰 집적 회로 밀도들에 대한 요구들은 또한 집적 회로 구성요소들의 제조에 사용되는 프로세스 시퀀스들(process sequences)에 대한 요구들을 부과한다. 예를 들어, 종래의 포토리소그래피 기술들(photolithographic techniques)을 사용하는 프로세스 시퀀스들에서, 기판 상에 배치된 재료 층들의 스택(stack) 위에 에너지 민감성 레지스트(energy sensitive resist) 층이 형성된다. 에너지 민감성 레지스트 층은 패턴의 이미지에 노출되어 포토레지스트 마스크(photoresist mask)를 형성한다. 그 후에, 마스크 패턴(mask pattern)은 에칭 프로세스(etch process)를 사용하여 스택의 재료 층들 중 하나 이상으로 전사된다. 에칭 프로세스에 사용되는 화학적 에천트(chemical etchant)는 에너지 민감성 레지스트의 마스크보다 스택의 재료 층들에 대해 더 큰 에칭 선택비(etch selectivity)를 갖도록 선택된다. 즉, 화학적 에천트는 에너지 민감성 레지스트보다 훨씬 더 빠른 속도로 재료 스택의 하나 이상의 층들을 에칭한다. 레지스트와 비교되는(over), 스택의 하나 이상의 재료 층들에 대한 에칭 선택비는 패턴 전사의 완료 이전에 에너지 민감성 레지스트가 소비되는 것을 방지한다.
[0004] 패턴 치수들이 감소됨에 따라, 에너지 민감성 레지스트의 두께는 패턴 해상도를 제어하기 위해 대응적으로 감소된다. 그러한 얇은 레지스트 층들은 화학적 에천트에 의한 공격으로 인해 패턴 전사 단계 동안에 하부 재료 층들(underlying material layers)을 마스킹하기에 불충분할 수 있다. 하드마스크(hardmask)로 불리는 중간 층(예를 들어, 실리콘 산질화물, 실리콘 탄화물 또는 탄소 막)은, 화학적 에천트에 대한 보다 큰 저항으로 인해, 패턴 전사를 용이하게 하기 위해 에너지 민감성 레지스트 층과 하부 재료 층들 사이에 종종 사용된다. 높은 에칭 선택비 및 높은 증착 속도들 둘 모두를 갖는 하드마스크 재료들이 바람직하다. 임계 치수들(critical dimensions; CD)이 감소함에 따라, 현재의 하드마스크 재료들은 하부 재료들(예를 들어, 산화물들 및 질화물들)에 대한 원하는 에칭 선택비가 부족하고, 종종 증착하기 어렵다.
[0005] 따라서, 당업계에서는 개선된 하드마스크 층들 및 개선된 하드마스크 층들을 증착하기 위한 방법들에 대한 필요성이 존재한다.
[0006] 본 개시내용의 구현예들은 일반적으로 집적 회로들의 제조에 관한 것이다. 보다 구체적으로, 본원에 설명된 구현예들은 패터닝 응용들을 위한 고밀도 막들의 증착을 위한 기술들을 제공한다. 일 구현예에서, 기판을 프로세싱하는 방법이 제공된다. 그 방법은 정전 척(electrostatic chuck) 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 용적부(processing volume) 내로 탄화수소-함유 가스 혼합물(hydrocarbon-containing gas mixture)을 유동시키는 단계를 포함한다. 기판은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지된다. 그 방법은 기판 상에 다이아몬드형 탄소 막(diamond-like carbon film)을 증착하기 위해 제1 RF 바이어스(bias)를 정전 척에 인가함으로써 기판 레벨(substrate level)에서 플라즈마를 생성하는 단계를 더 포함한다. 다이아몬드형 탄소 막은 1.8 g/cc 초과의 밀도 및 -500 MPa 미만의 응력을 갖는다.
[0007] 다른 구현예에서, 기판을 프로세싱하는 방법이 제공된다. 그 방법은 정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 용적부 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계를 포함한다. 기판은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지되고, 탄화수소-함유 가스 혼합물은 아세틸렌(C2H2)을 포함한다. 그 방법은 기판 상에 다이아몬드형 탄소 막을 증착하기 위해 제1 RF 바이어스 및 제2 RF 바이어스를 정전 척에 인가함으로써 기판 레벨에서 플라즈마를 생성하는 단계를 더 포함한다. 다이아몬드형 탄소 막은 약 1.8 g/cc 내지 약 2.5 g/cc의 밀도 및 약 -600 MPa 내지 약 -300 MPa의 응력을 갖는다.
[0008] 또 다른 구현예에서, 기판을 프로세싱하는 방법이 제공된다. 그 방법은 정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 용적부 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계를 포함한다. 프로세싱 용적부는 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지된다. 그 방법은 기판 상에 다이아몬드형 탄소 막을 증착하기 위해 제1 RF 바이어스 및 제2 RF 바이어스를 정전 척에 인가함으로써 기판 레벨에서 플라즈마를 생성하는 단계를 더 포함한다. 다이아몬드형 탄소 막은 약 1.8 g/cc 내지 약 2.5 g/cc의 밀도 및 약 -1000 MPa 내지 약 -100 MPa, 예를 들어 약 -600 MPa 내지 약 -300 MPa의 응력을 갖는다. 그 방법은 다이아몬드형 탄소 막 위에 패터닝된 포토레지스트 층(patterned photoresist layer)을 형성하는 단계를 더 포함한다. 그 방법은 패터닝된 포토레지스트 층과 대응하는 패턴으로 다이아몬드형 탄소 막을 에칭하는 단계를 더 포함한다. 그 방법은 기판 내로 패턴을 에칭하는 단계를 더 포함한다. 그 방법은 다이아몬드형 탄소 막의 에칭된 부분들 내로 재료를 증착하는 단계를 더 포함한다.
[0009] 또 다른 구현예에서, EUV 리소그래피 프로세스를 위한 하부 층(underlayer)으로서 사용하기 위한 막이 제공된다. 막은 막 내의 탄소 원자들의 총량을 기준으로 40% 내지 90%의 sp3 혼성화 탄소 원자(hybridized carbon atom) 함량; 1.8 g/cc 내지 2.5 g/cc의 밀도; 및 150 GPa 내지 400 GPa의 탄성 모듈러스(elastic modulus)를 갖는다. 일부 구현예들에서, 막은 2.0 g/cc 내지 2.5 g/cc의 밀도 및 180 GPa 내지 200 GPa의 탄성 모듈러스를 갖는다. 일부 구현예들에서, 막은 -600 MPa의 응력; 2.0 내지 3.0인 굴절률(refractive index); 및 0.2 내지 0.3의 흡광 계수(extinction coefficient)를 갖는다.
[0010] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 구현예들의 보다 구체적인 설명이 구현예들을 참조로 하여 이루어질 수 있는데, 이러한 구현예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 구현예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현예들을 허용할 수 있기 때문이다.
[0011] 도 1a는 본원에 설명된 구현예들의 실시에 사용될 수 있는 증착 시스템의 개략적인 단면도를 도시하고;
[0012] 도 1b는 본원에 설명된 구현예들의 실시에 사용될 수 있는 다른 증착 시스템의 개략적인 단면도를 도시하고;
[0013] 도 2는 본원에 설명된 구현예들의 실시를 위한 도 1a 및 도 1b의 장치에서 사용될 수 있는 정전 척의 개략적인 단면도를 도시하고;
[0014] 도 3은 본 개시내용의 하나 이상의 구현예들에 따라 기판 상에 배치된 막 스택 상에 다이아몬드형 탄소 층을 형성하기 위한 방법의 흐름도를 도시하고;
[0015] 도 4a 및 도 4b는 본 개시내용의 하나 이상의 구현예들에 따라 기판 상에 형성된 막 스택 상에 다이아몬드형 탄소 층을 형성하기 위한 시퀀스의 일 구현예를 도시하고;
[0016] 도 5는 본 개시내용의 하나 이상의 구현예들에 따라 형성된 다이아몬드형 탄소 층에 대한 바이어스 전력의 함수로써 밀도를 나타내는 그래프이고;
[0017] 도 6은 본 개시내용의 하나 이상의 구현예들에 따라 형성된 다이아몬드형 탄소 층에 대한 바이어스 전력의 함수로써 응력을 나타내는 그래프이며;
[0018] 도 7은 본 개시내용의 하나 이상의 구현예들에 따라 형성된 다이아몬드형 탄소 층에 대한 압력의 함수로써 밀도 및 응력을 나타내는 그래프이다.
[0019] 이해를 용이하게 하기 위해, 도면들에 대해 공통적인 동일한 요소들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 구현예의 요소들 및 특징들이 추가의 언급없이 다른 구현예들에 유익하게 통합될 수 있음이 고려된다.
[0020] 하기의 개시내용은 기판 상에 다이아몬드형 탄소 막들을 증착하기 위한 기술들을 설명한다. 특정 세부사항들은 본 개시내용의 다양한 구현예들에 대한 철저한 이해를 제공하기 위해 하기의 설명 및 도 1 내지 도 7에 기술되어 있다. 플라즈마 프로세싱 및 다이아몬드형 탄소 막 증착과 종종 연관된 잘 알려진 구조체들 및 시스템들을 설명하는 다른 세부사항들은 다양한 구현예들의 설명을 불필요하게 모호하게 하는 것을 회피하기 위해 하기의 개시내용에는 기술되지 않는다.
[0021] 도면들에 도시된 많은 세부사항들, 치수들, 각도들 및 다른 특징들은 특정 구현예들을 예시하는 것일 뿐이다. 따라서, 다른 구현예들은 본 개시내용의 사상 또는 범위로부터 벗어남이 없이 다른 세부사항들, 구성요소들, 치수들, 각도들 및 특징들을 가질 수 있다. 또한, 본 개시내용의 추가 구현예들은 후술되는 몇몇의 세부사항들 없이도 실시될 수 있다.
[0022] 본원에 설명된 구현예들은 임의의 적합한 박막 증착 시스템을 사용하여 수행될 수 있는 PECVD 프로세스를 참조하여 하기에서 설명될 것이다. 적합한 시스템들의 예들은 DXZ® 프로세싱 챔버를 사용할 수 있는 CENTURA® 시스템들, PRECISION 5000® 시스템들, PRODUCER® 시스템들, PRODUCER® GT™ 시스템들, PRODUCER® XP Precision™ 시스템들, PRODUCER® SE™ 시스템들, Sym3® 프로세싱 챔버, 및 Mesa™ 프로세싱 챔버를 포함하며, 이들 모두는 캘리포니아주 산타클라라 소재의 Applied Materials, Inc.로부터 상업적으로 입수 가능하다. PECVD 프로세스들을 수행할 수 있는 다른 툴들(tools)이 또한 본원에 설명된 구현예들로부터 이익을 얻도록 적합화될 수 있다. 또한, 본원에 설명된 PECVD 프로세스들을 가능하게 하는 임의의 시스템이 유리하게 사용될 수 있다. 본원에 설명된 장치 설명은 예시적인 것이며, 본원에 설명된 구현예들의 범위를 제한하는 것으로 이해되거나 해석되어서는 안 된다.
[0023] 메모리 및 다른 디바이스들을 위한 현재의 하드마스크 응용들은 대체로, 본질적으로 비정질인 두꺼운 탄소 막들(예를 들어, 300 나노미터 내지 1.5 미크론)을 사용하지만, 그들의 에칭 선택비는 점점 더 엄격해지는 요구사항들 및 향후의 노드들(upcoming nodes)의 고-종횡비 에칭(high-aspect ratio etch)을 충족시키기에는 더 이상 충분하지 않다. 보다 큰 에칭 선택비를 달성하기 위해, 막의 밀도 및 영률(Young's modulus)이 개선될 필요가 있다. 보다 높은 에칭 선택비 및 개선된 영률을 달성함에 있어서 주요 과제들 중 하나는, 결과적인 높은 웨이퍼 보우(wafer bow) 때문에 응용들에 적합하지 않게 하는 그러한 막의 높은 압축 응력이다. 따라서, 낮은 응력(예를 들어, < -500 MPa)과 함께 높은 에칭 선택비를 갖는 고밀도 및 모듈러스(예를 들어, 보다 높은 sp3 함량, 보다 더 다이아몬드형)를 가지는 탄소(다이아몬드형) 막들에 대한 필요성이 존재한다.
[0024] 본원에 설명된 구현예들은 고밀도(예를 들어, > 1.8 g/cc), 높은 모듈러스(예를 들어, > 150 GPa) 및 낮은 응력(예를 들어, < -500 MPa)을 갖는 탄소 막들을 제조하는 개선된 방법들을 포함한다. 본원에 설명된 구현예들에 따라 제조된 탄소 막들은 본질적으로 비정질이며, 현재의 패터닝 막들보다 낮은 응력(< -500 MPa)과 함께 훨씬 더 큰 모듈러스(예를 들어, > 150 GPa)를 갖는 보다 높은 에칭 선택비를 갖는다. 본원에 설명된 구현예들에 따라 제조된 탄소 막들은 낮은 응력을 가질 뿐만 아니라, 높은 sp3 탄소 함량을 갖는다. 일반적으로, 본원에 설명된 증착 프로세스는 또한 하드마스크 응용들을 위한 현재 통합 방식들과 완전히 호환된다.
[0025] 일부 구현예들에서, 본원에 설명된 다이아몬드형 탄소 막들은 C2H2, C3H6, CH4, C4H8, 1,3-디메틸아다만탄, 비시클로[2.2.1]헵타-2,5-디엔 (2,5-노르보르나딘), 아다만틴(C10H16), 노르보르넨(C7H10), 또는 이들의 조합들과 같은(그러나 이에 제한되지는 않음) 전구체들을 포함하는 탄화수소-함유 가스 혼합물을 사용하여 화학적 기상 증착(플라즈마 강화 및/또는 열) 프로세스들에 의해 형성될 수 있다. 증착 프로세스는 -50 ℃ 내지 600 ℃의 범위인 온도에서 수행될 수 있다. 증착 프로세스는 프로세싱 용적부에서 0.1 mTorr 내지 10 Torr의 범위인 압력에서 수행될 수 있다. 탄화수소-함유 가스 혼합물은 He, Ar, Xe, N2, H2 중 어느 하나 또는 이들의 임의의 조합을 더 포함할 수 있다. 탄화수소-함유 가스 혼합물은 막 품질을 향상시키기 위해 Cl2, CF4 및/또는 NF3과 같은 에천트 가스들을 더 포함할 수 있다. 플라즈마(예를 들어, 용량성 결합 플라즈마)는 상부 및 하부 전극들 또는 측면 전극들로부터 형성될 수 있다. 전극들은 단일 전력 전극, 이중 전력 전극들, 또는 그 초과의 전극들로 형성될 수 있으며, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 및 100 MHz와 같은(그러나 이에 제한되지는 않음) 다중 주파수들이 하드마스크 및/또는 에칭 스톱(etch stop) 또는 평활한 탄소 막들을 필요로 하는 임의의 다른 응용으로서 사용하기 위한 다이아몬드형 탄소의 박막을 증착시키기 위해 본원에 열거된 임의의 또는 모든 반응물 가스들(reactant gases)과 함께 CVD 시스템에서 대안적으로 또는 동시에 사용된다. 다이아몬드형 탄소 막의 높은 에칭 선택비는 현 세대의 막들보다 높은 밀도 및 모듈러스를 가짐으로써 달성된다. 이론에 얽매이지 않지만, 보다 높은 밀도 및 모듈러스는 막 내의 높은 함량의 sp3 혼성화 탄소 원자들의 결과이며, 이는 결국 저압 및 플라즈마 전력의 조합에 의해 달성될 수 있는 것으로 여겨진다.
[0026] 일부 구현예들에서, 수소 라디칼(hydrogen radical)은 RPS를 통해 공급되며, 이는 sp2 혼성화 탄소 원자들의 선택적 에칭을 초래하고, 그에 따라 막의 sp3 혼성화 탄소 원자 분율을 더욱 증가시키며, 그에 따라 에칭 선택비를 더욱 증가시킨다.
[0027] 일부 구현예들에서, 다이아몬드형 탄소 막은 10 ℃로 유지되는 기판 페디스털(substrate pedestal)을 갖는 챔버에서 증착되었고, 압력은 2 mTorr로 유지되었고, 플라즈마는 정전 척에 2500 와트(13.56 MHz)의 바이어스를 인가함으로써 웨이퍼 레벨에서 생성되었다. 일부 구현예들에서, 2 MHz에서 1000 와트의 추가 RF가 또한 정전 척으로 전달되고, 그에 따라 웨이퍼 레벨에서 이중-바이어스 플라즈마가 생성되었다.
[0028] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 본원에 설명된 임의의 막일 수 있다.
[0029] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 막 내의 탄소 원자들의 총량을 기준으로 40% 내지 90%의 sp3 혼성화 탄소 원자 함량; 1.8 g/cc 내지 2.5 g/cc의 밀도; 및 150 GPa 내지 400 GPa의 탄성 모듈러스를 갖는다.
[0030] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 2.0 g/cc 내지 2.2 g/cc의 밀도; 및 약 180 GPa 내지 약 200 GPa인 탄성 모듈러스를 갖는다. 일부 구현예들에서, 막은 약 2.1 g/cc의 밀도 및 약 195 GPa의 탄성 모듈러스를 갖는다.
[0031] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 -600 MPa의 응력; 2.0 내지 3.0인 굴절률; 및 0.2 내지 0.3의 흡광 계수를 갖는다.
[0032] 도 1a는 본원에 설명된 구현예들에 따라 다이아몬드형 탄소 층 증착을 수행하는 데 사용될 수 있는 기판 프로세싱 시스템(132)의 개략도를 도시하고 있다. 기판 프로세싱 시스템(132)은 가스 패널(gas panel)(130) 및 제어기(110)에 결합된 프로세스 챔버(100)를 포함한다. 프로세스 챔버(100)는, 일반적으로 프로세싱 용적부(126)를 한정하는, 상부 벽(124), 측벽(101) 및 하부 벽(122)을 포함한다. 프로세스 챔버(100)의 프로세싱 용적부(126)에는 기판 지지 조립체(146)가 제공된다. 기판 지지 조립체(146)는 일반적으로 스템(stem)(160)에 의해 지지된 정전 척(150)을 포함한다. 정전 척(150)은 전형적으로 알루미늄, 세라믹 및 다른 적합한 재료들로 제조될 수 있다. 정전 척(150)은 변위 메커니즘(displacement mechanism)(도시되지 않음)을 사용하여 프로세스 챔버(100) 내부에서 수직 방향으로 이동될 수 있다.
[0033] 진공 펌프(102)가 프로세스 챔버(100)의 하부에 형성된 포트(port)에 결합된다. 진공 펌프(102)는 프로세스 챔버(100)에서 원하는 가스 압력을 유지하는 데 사용된다. 진공 펌프(102)는 또한 프로세스 챔버(100)로부터 프로세스의 프로세싱-후 가스들 및 부산물들을 진공 배기시킨다.
[0034] 기판 프로세싱 시스템(132)은 챔버 압력을 제어하기 위한 추가 장비, 예를 들어 챔버 압력을 제어하기 위해 프로세스 챔버(100)와 진공 펌프(102) 사이에 포지셔닝된 밸브들(예를 들어, 스로틀 밸브들(throttle valves) 및 차단 밸브들)을 더 포함할 수 있다.
[0035] 정전 척(150) 위의 프로세스 챔버(100)의 상부 상에는 복수의 개구들(128)을 갖는 가스 분배 조립체(120)가 배치된다. 가스 분배 조립체(120)의 개구들(128)은 프로세스 가스들을 프로세스 챔버(100) 내로 도입하는 데 이용된다. 개구들(128)은 상이한 프로세스 요구사항들에 대한 다양한 프로세스 가스들의 유동을 용이하게 하기 위해 상이한 크기들, 수, 분포들, 형상, 설계 및 직경들을 가질 수 있다. 가스 분배 조립체(120)는, 다양한 가스들이 프로세싱 동안에 프로세싱 용적부(126)에 공급될 수 있게 하는 가스 패널(130)에 연결된다. 가스 분배 조립체(120)를 빠져나가는 프로세스 가스 혼합물로부터 플라즈마가 형성되어 프로세스 가스들의 열 분해를 향상시켜서 기판(190)의 표면(191) 상에 재료의 증착을 초래한다.
[0036] 가스 분배 조립체(120) 및 정전 척(150)은 프로세싱 용적부(126)에 한 쌍의 이격된 전극들을 형성할 수 있다. 하나 이상의 RF 전원(140)은 선택적인 정합 네트워크(matching network)(138)를 통해 가스 분배 조립체(120)에 바이어스 전위를 제공하여 가스 분배 조립체(120)와 정전 척(150) 사이에서의 플라즈마 생성을 용이하게 한다. 대안적으로, RF 전원(140) 및 정합 네트워크(138)는 가스 분배 조립체(120) 또는 정전 척(150)에 결합되거나, 가스 분배 조립체(120) 및 정전 척(150) 둘 모두에 결합되거나, 프로세스 챔버(100)의 외부에 배치된 안테나(도시되지 않음)에 결합될 수 있다. 일부 구현예들에서, RF 전원(140)은 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz의 주파수에서 전력을 생성할 수 있다. 일 구현예에서, RF 전원(140)은 약 50 KHz 내지 약 13.6 MHz의 주파수에서 약 100 와트 내지 약 3,000 와트를 제공할 수 있다. 다른 구현예에서, RF 전원(140)은 약 50 KHz 내지 약 13.6 MHz의 주파수에서 약 500 와트 내지 약 1,800 와트를 제공할 수 있다.
[0037] 제어기(110)는 프로세스 시퀀스를 제어하고 가스 패널(130)로부터의 가스 유동들을 조절하는 데 이용되는 중앙 프로세싱 유닛(CPU)(112), 메모리(116) 및 지원 회로(114)를 포함한다. CPU(112)는 산업 세팅에 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들(software routines)은 랜덤 액세스 메모리(random access memory), 판독 전용 메모리(read only memory), 플로피(floppy) 또는 하드디스크 드라이브(hard disk drive) 또는 다른 형태의 디지털 저장장치와 같은 메모리(116)에 저장될 수 있다. 지원 회로(114)는 통상적으로 CPU(112)에 결합되고, 캐시(cache), 클록 회로들(clock circuits), 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다. 제어기(110)와 기판 프로세싱 시스템(132)의 다양한 구성요소들 사이의 양방향 통신들은 총괄하여 신호 버스들(signal buses)(118)로 지칭되는 다수의 신호 케이블들을 통해 핸들링(handling)되며, 이들 중 일부가 도 1a에 도시되어 있다.
[0038] 도 1b는 본원에 설명된 구현예들의 실시에 사용될 수 있는 다른 기판 프로세싱 시스템(180)의 개략적인 단면도를 도시하고 있다. 기판 프로세싱 시스템(180)은, 기판 프로세싱 시스템(180)이 프로세싱 가스들을 가스 패널(130)로부터 측벽(101)을 통해 기판(190)의 표면(191)을 가로질러 유동시키도록 구성된다는 점을 제외하고는, 도 1a의 기판 프로세싱 시스템(132)과 유사하다. 또한, 도 1a에 도시된 가스 분배 조립체(120)는 전극(182)으로 대체된다. 전극(182)은 이차 전자를 생성하도록 구성될 수 있다. 일 구현예에서, 전극(182)은 실리콘-함유 전극이다.
[0039] 도 2는 본원에 설명된 구현예들의 실시에 사용될 수 있는, 도 1a 및 도 1b의 프로세싱 시스템들에 사용되는 기판 지지 조립체(146)의 개략적인 단면도를 도시하고 있다. 도 2를 참조하면, 정전 척(150)은 정전 척(150)의 상부 표면(192) 상에 지지된 기판(190)의 온도를 제어하기에 적합한 히터 요소(heater element)(170)를 포함할 수 있다. 히터 요소(170)는 정전 척(150) 내에 매립될 수 있다. 정전 척(150)은 히터 전원(heater power source)(106)으로부터 히터 요소(170)에 전류를 인가함으로써 저항 가열될 수 있다. 히터 전원(106)은 RF 필터(RF filter)(216)를 통해 결합될 수 있다. RF 필터(216)는 RF 에너지로부터 히터 전원(106)을 보호하는 데 사용될 수 있다. 히터 요소(170)는 니켈-철-크롬 합금(예를 들어, INCOLOY®) 시스 튜브(sheath tube)로 캡슐화된 니켈-크롬 와이어로 제조될 수 있다. 히터 전원(106)으로부터 공급된 전류는 히터 요소(170)에 의해 발생된 열을 제어하도록 제어기(110)에 의해 조절되고, 그에 따라 막 증착 동안에 기판(190) 및 정전 척(150)을 실질적으로 일정한 온도로 유지한다. 공급된 전류는 약 -50 ℃ 내지 약 600 ℃ 사이에서 정전 척(150)의 온도를 선택적으로 제어하도록 조정될 수 있다.
[0040] 도 1을 참조하면, 정전 척(150)의 온도를 통상적인 방식으로 모니터링하기 위해 열전쌍(thermocouple)과 같은 온도 센서(172)가 정전 척(150) 내에 매립될 수 있다. 측정된 온도는 기판을 원하는 온도로 유지하기 위해 히터 요소(170)에 공급되는 전력을 제어하도록 제어기(110)에 의해 사용된다.
[0041] 정전 척(150)은 전도성 재료의 메시(mesh)일 수 있는 척킹 전극(chucking electrode)(210)을 포함한다. 척킹 전극(210)은 정전 척(150) 내에 매립될 수 있다. 척킹 전극(210)은 척킹 전원(chucking power source)(212)에 결합되어, 에너자이징되는 경우, 기판(190)을 정전 척(150)의 상부 표면(192)에 정전기적으로 클램핑(clamp)한다.
[0042] 척킹 전극(210)은 모노폴라(monopolar) 또는 바이폴라(bipolar) 전극으로 구성될 수 있거나, 다른 적합한 배열을 가질 수 있다. 척킹 전극(210)은 RF 필터(214)를 통해 척킹 전원(212)에 결합될 수 있고, 척킹 전원(212)은 정전 척(150)의 상부 표면(192)에 기판(190)을 정전기적으로 고정하기 위해 직류(DC) 전력을 제공한다. RF 필터(214)는 프로세스 챔버(100) 내에 플라즈마를 형성하는 데 이용되는 RF 전력이 전기 장비를 손상시키거나 챔버 외부에 전기적 위험을 야기하는 것을 방지한다. 정전 척(150)은 AlN 또는 Al2O3과 같은 세라믹 재료로 제조될 수 있다. 대안적으로, 정전 척(150)은 폴리이미드, 폴리에테르에테르케톤(PEEK), 폴리아릴에테르케톤(PAEK) 등과 같은 중합체로 제조될 수 있다.
[0043] 전력 인가 시스템(220)은 기판 지지 조립체(146)에 결합된다. 전력 인가 시스템(220)은 히터 전원(106), 척킹 전원(212), 제1 무선 주파수(RF) 전원(230) 및 제2 RF 전원(240)을 포함할 수 있다. 전력 인가 시스템(220)의 구현예들은 제어기(110)와, 제어기(110) 및 제1 RF 전원(230) 및 제2 RF 전원(240) 둘 모두와 통신하는 센서 디바이스(sensor device)(250)를 추가로 포함할 수 있다.
[0044] 제어기(110)는 또한 기판(190) 상에 재료 층을 증착하기 위해 제1 RF 전원(230) 및 제2 RF 전원(240)으로부터 RF 전력을 인가함으로써 프로세싱 가스로부터 플라즈마를 제어하는 데 이용될 수 있다.
[0045] 전술한 바와 같이, 정전 척(150)은, 제1 RF 전극으로서 기능하면서도 일 양상에서 기판(190)을 척킹하도록 기능할 수 있는 척킹 전극(210)을 포함한다. 정전 척(150)은 또한 제2 RF 전극(260)을 포함할 수 있고, 척킹 전극(210)과 함께, RF 전력을 인가하여 플라즈마를 튜닝(tuning)할 수 있다. 제1 RF 전원(230)은 제2 RF 전극(260)에 결합될 수 있는 한편, 제2 RF 전원(240)은 척킹 전극(210)에 결합될 수 있다. 제1 RF 전원(230) 및 제2 RF 전원(240) 각각에는 제1 정합 네트워크 및 제2 정합 네트워크가 제공될 수 있다. 제2 RF 전극(260)은 도시된 바와 같은 전도성 재료의 고형 금속 플레이트(solid metal plate)일 수 있다. 대안적으로, 제2 RF 전극(260)은 전도성 재료의 메시일 수 있다.
[0046] 제1 RF 전원(230) 및 제2 RF 전원(240)은 동일한 주파수 또는 상이한 주파수에서 전력을 생성할 수 있다. 일부 구현예들에서, 제1 RF 전원(230) 및 제2 RF 전원(240) 중 하나 또는 둘 모두는 약 350 KHz 내지 약 100 MHz(예를 들어, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz)의 주파수에서 독립적으로 전력을 생성할 수 있다. 일부 구현예들에서, 제1 RF 전원(230)은 13.56 MHz의 주파수에서 전력을 생성할 수 있고, 제2 RF 전원(240)은 2 MHz의 주파수에서 전력을 생성할 수 있거나, 그 반대로도 가능하다. 제1 RF 전원(230) 및 제2 RF 전원(240) 중 하나 또는 둘 모두로부터의 RF 전력은 플라즈마를 튜닝하기 위해 변화될 수 있다. 예를 들어, 센서 디바이스(250)는 제1 RF 전원(230) 및 제2 RF 전원(240) 중 하나 또는 둘 모두로부터의 RF 에너지를 모니터링하는 데 사용될 수 있다. 센서 디바이스(250)로부터의 데이터는 제어기(110)로 전달될 수 있고, 제어기(110)는 제1 RF 전원(230) 및 제2 RF 전원(240)에 의해 인가된 전력을 변화시키는 데 이용될 수 있다.
[0047] 증착 직후(as-deposited)의 다이아몬드형 탄소 내의 sp3 혼성화 탄소 원자들의 양/백분율은 응용마다 변할 수 있다. 본 개시내용의 다양한 구현예들에서, 증착 직후의 다이아몬드형 탄소 막은 적어도 40, 45, 50, 55, 60, 65, 70, 75, 80, 또는 85 퍼센트의 sp3 혼성화 탄소 원자들을 함유할 수 있다. 증착 직후의 다이아몬드형 탄소 막은 최대 45, 50, 55, 60, 65, 70, 75, 80, 85, 또는 90 퍼센트의 sp3 혼성화 탄소 원자들을 함유할 수 있다. 증착 직후의 다이아몬드형 탄소 막은 약 50 내지 약 90 퍼센트의 sp3 혼성화 탄소 원자들을 함유할 수 있다. 증착 직후의 다이아몬드형 탄소 막은 약 60 내지 약 70 퍼센트의 sp3 혼성화 탄소 원자들을 함유할 수 있다.
[0048] 일반적으로, 하기의 예시적인 증착 프로세스 파라미터들은 증착 직후의 다이아몬드형 탄소 층을 형성하는 데 사용될 수 있다. 웨이퍼 온도는 약 -50 ℃ 내지 약 350 ℃(예를 들어, 약 10 ℃ 내지 약 100 ℃; 또는 약 10 ℃ 내지 약 50 ℃)의 범위일 수 있다. 챔버 압력은 약 0.5 mTorr 내지 약 10 Torr(예를 들어, 약 2 mTorr 내지 약 50 mTorr; 또는 약 2 mTorr 내지 약 10 mTorr)의 챔버 압력의 범위일 수 있다. 탄화수소-함유 가스 혼합물의 유량은 약 10 sccm 내지 약 1,000 sccm(예를 들어, 약 100 sccm 내지 약 200 sccm, 또는 약 150 sccm 내지 약 200 sccm)일 수 있다. 희석 가스의 유량은 개별적으로 약 50 sccm 내지 약 50,000 sccm(예를 들어, 약 50 sccm 내지 약 500 sccm; 또는 약 50 sccm 내지 약 100 sccm)의 범위일 수 있다.
[0049] 다이아몬드형 탄소 층은 약 5 Å 내지 약 20,000 Å(예를 들어, 약 300 Å 내지 약 5000 Å; 약 2000 Å 내지 약 3000 Å, 또는 약 5 Å 내지 약 200 Å)의 두께까지 증착될 수 있다. 표 1에 나타낸 상기 프로세스 파라미터들은 캘리포니아주 산타클라라 소재의 Applied Materials, Inc.로부터 입수 가능한 증착 챔버에서 300 ㎜의 기판에 대한 프로세스 파라미터들의 예들을 제공한다.
[0050] 증착 직후의 다이아몬드형 탄소 막은 2.0 초과, 예를 들어 약 2.0 내지 약 3.0, 예컨대 2.3의 굴절률 또는 n-값((633 nm에서의) n)을 가질 수 있다. 증착 직후의 다이아몬드형 탄소 막은 0.1 초과, 예를 들어 약 0.2 내지 약 0.3, 예컨대 0.25의 흡광 계수 또는 k-값((633 nm에서의) K)을 가질 수 있다. 증착 직후의 다이아몬드형 탄소 막은 약 -100 MPa 미만, 예를 들어 약 -1000 MPa 내지 약 -100 MPa, 약 -600 MPa 내지 약 -300 MPa, 약 -600 MPa 내지 약 -500 MPa, 예컨대 약 -550 MPa의 응력(MPa)을 가질 수 있다. 증착 직후의 다이아몬드형 탄소 막은 1.8 g/cc 초과, 예를 들어 약 2.0 g/cc 이상, 약 2.5 g/cc 이상, 예컨대 약 1.8 g/cc 내지 약 2.5 g/cc의 밀도(g/cc)를 가질 수 있다. 증착 직후의 다이아몬드형 탄소 막은 150 GPa 초과, 예를 들어 약 200 내지 약 400 GPa의 탄성 모듈러스(GPa)를 가질 수 있다.
[0051] 도 3은 본 개시내용의 일 구현예에 따라 기판 상에 배치된 막 스택 상에 다이아몬드형 탄소 층을 형성하기 위한 방법(300)의 흐름도를 도시하고 있다. 막 스택 상에 형성된 다이아몬드형 탄소 층은 예를 들어 막 스택에 계단형 구조체들(stair-like structures)을 형성하기 위한 하드마스크로서 이용될 수 있다. 도 4a 및 도 4b는 방법(300)에 따라 기판 상에 배치된 막 스택 상에 다이아몬드형 탄소 층을 형성하기 위한 시퀀스를 도시하는 개략적인 단면도들이다. 방법(300)이, 3 차원 반도체 디바이스들을 위한 계단형 구조체들을 막 스택에 제조하는 데 이용되는 막 스택 상에 형성될 수 있는 하드마스크 층을 참조하여 하기에서 설명되지만, 방법(300)은 또한 다른 디바이스 제조 응용들에 유리하게 사용될 수 있다. 또한, 도 3에 나타낸 동작들이 도 3에 나타낸 순서와 상이한 순서로 그리고/또는 동시에 수행될 수 있다는 것도 이해되어야 한다.
[0052] 방법(300)은 동작(310)에서, 도 4a에 도시된 기판(400)과 같은 기판을, 도 1a 또는 도 1b에 도시된 프로세스 챔버(100)와 같은 프로세스 챔버 내에 포지셔닝시킴으로써 시작된다. 기판(400)은 도 1a, 도 1b 및 도 2에 도시된 기판(190)일 수 있다. 기판(400)은 정전 척, 예를 들어 정전 척(150)의 상부 표면(192) 상에 포지셔닝될 수 있다. 기판(400)은 실리콘계 재료, 또는 필요에 따라 임의의 적합한 절연 재료 또는 전도성 재료일 수 있으며, 계단형 구조체들과 같은 구조체(402)를 막 스택(404)에 형성하는 데 이용될 수 있는 막 스택(404)이 기판(400) 상에 배치된다.
[0053] 도 4a에 도시된 예시적인 구현예에 나타낸 바와 같이, 기판(400)은 실질적으로 평탄한 표면, 평평하지 않은 표면(uneven surface), 또는 구조체가 그 위에 형성되어 있는 실질적으로 평탄한 표면을 가질 수 있다. 막 스택(404)은 기판(400) 상에 형성된다. 일 구현예에서, 막 스택(404)은 프론트 엔드(front end) 또는 백 엔드(back end) 프로세스에서 게이트 구조체(gate structure), 접촉 구조체 또는 상호연결 구조체를 형성하는 데 이용될 수 있다. 방법(300)은 NAND 구조체와 같은 메모리 구조체에 사용되는 계단형 구조체들을 막 스택(404)에 형성하기 위해 막 스택(404) 상에 수행될 수 있다. 일 구현예에서, 기판(400)은 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘(strained silicon), 실리콘 게르마늄, 도핑되거나 도핑되지 않은 폴리실리콘, 도핑되거나 도핑되지 않은 실리콘 기판들 및 패터닝되거나 패터닝되지 않은 기판들 실리콘 온 인슐레이터(silicon on insulator; SOI), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료일 수 있다. 기판(400)은 다양한 치수들, 예컨대 200 ㎜, 300 ㎜ 및 450 ㎜ 또는 다른 직경의 기판들뿐만 아니라 직사각형 또는 정사각형 패널들을 가질 수 있다. 달리 언급되지 않는 한, 본원에 설명된 구현예들 및 예들은 200 ㎜ 직경, 300 ㎜ 직경 또는 450 ㎜ 직경의 기판을 갖는 기판들 상에 실행된다. SOI 구조체가 기판(400)에 이용되는 구현예에서, 기판(400)은 실리콘 결정질 기판 상에 배치된 매립된 유전체 층을 포함할 수 있다. 본원에 나타낸 구현예에서, 기판(400)은 결정질 실리콘 기판일 수 있다.
[0054] 일 구현예에서, 기판(400) 상에 배치된 막 스택(404)은 다수의 수직 적층된 층들을 가질 수 있다. 막 스택(404)은 막 스택(404)에 반복적으로 형성된 제1 층(408a1, 408a2, 408a3, ……, 408an으로 도시됨) 및 제2 층(408b1, 408b2, 408b3, ……, 408bn으로 도시됨)을 포함하는 쌍들을 포함할 수 있다. 쌍들은 제1 층들과 제2 층들의 원하는 개수의 쌍들이 도달될 때까지 반복적으로 형성된, 교호하는 제1 층(408a1, 408a2, 408a3, ……, 408an으로 도시됨) 및 제2 층(408b1, 408b2, 408b3, ……, 408bn으로 도시됨)을 포함한다.
[0055] 막 스택(404)은 3 차원 메모리 칩(memory chip)과 같은 반도체 칩의 일부일 수 있다. 제1 층들(408a1, 408a2, 408a3, ……, 408an으로 도시됨) 및 제2 층들(408b1, 408b2, 408b3, ……, 408bn으로 도시됨)의 3 개의 반복 층들이 도 4a 및 도 4b에 도시되어 있지만, 필요에 따라 제1 및 제2 층들의 임의의 원하는 수의 반복 쌍들이 이용될 수 있다는 것이 주목된다.
[0056] 일 구현예에서, 막 스택(404)은 3 차원 메모리 칩을 위한 다중 게이트 구조체들을 형성하는 데 이용될 수 있다. 막 스택(404)에 형성된 제1 층들(408a1, 408a2, 408a3, ……, 408an)은 제1 유전체 층일 수 있고, 제2 층들(408b1, 408b2, 408b3, ……, 408bn)은 제2 유전체 층일 수 있다. 제1 층들(408a1, 408a2, 408a3, ……, 408an) 및 제2 층들(408b1, 408b2, 408b3, ……, 408bn)을 형성하는 데 이용될 수 있는 적합한 유전체 층들은, 그 중에서도, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 실리콘 산탄화물, 티타늄 질화물, 산화물과 질화물의 복합물, 질화물 층을 개재하는 적어도 하나 이상의 산화물 층들, 및 이들의 조합들을 포함한다. 일부 구현예들에서, 유전체 층들은 4 초과의 유전 상수를 갖는 하이(high)-k 재료일 수 있다. 하이-k 재료들의 적합한 예들은, 그 중에서도, 하프늄 이산화물(HfO2), 지르코늄 이산화물(ZrO2), 하프늄 실리콘 산화물(HfSiO2), 하프늄 알루미늄 산화물(HfAlO), 지르코늄 실리콘 산화물(ZrSiO2), 탄탈륨 이산화물(TaO2), 알루미늄 산화물, 알루미늄 도핑된 하프늄 이산화물, 비스무트 스트론튬 티타늄(BST) 및 백금 지르코늄 티타늄(PZT)을 포함한다.
[0057] 하나의 특정 예에서, 제1 층들(408a1, 408a2, 408a3, ……, 408an)은 실리콘 산화물 층들이고, 제2 층들(408b1, 408b2, 408b3, ……, 408bn)은 제1 층들(408a1, 408a2, 408a3, ……, 408an) 상에 배치된 실리콘 질화물 층들 또는 폴리실리콘 층들이다. 일 구현예에서, 제1 층들(408a1, 408a2, 408a3, ……, 408an)의 두께는 약 50 Å 내지 약 1000 Å, 예컨대 약 500 Å으로 제어될 수 있고, 각각의 제2 층들(408b1, 408b2, 408b3, ……, 408bn)의 두께는 약 50 Å 내지 약 1000 Å, 예컨대 약 500 Å으로 제어될 수 있다. 막 스택(404)은 약 100 Å 내지 약 2000 Å의 총 두께를 가질 수 있다. 일 구현예에서, 막 스택(404)의 총 두께는 약 3 미크론 내지 약 10 미크론이며, 기술이 발전함에 따라 변할 것이다.
[0058] 다이아몬드형 탄소 층은 기판(400) 상에 존재하는 막 스택(404)을 갖거나 갖지 않는 기판(400)의 임의의 표면들 또는 임의의 부분 상에 형성될 수 있다는 것이 주목된다.
*[0059] 동작(320)에서, 척킹 전압이 정전 척에 인가되어 기판(400)을 정전 척에 클램핑한다. 일부 구현예들에서, 기판(400)이 정전 척(150)의 상부 표면(192) 상에 포지셔닝되는 경우, 상부 표면(192)은 프로세싱 동안에 기판(400)에 대한 지지를 제공하고 기판(400)을 클램핑한다. 정전 척(150)은 기판(400)을 상부 표면(192)에 대해 밀접하게 평탄화시켜, 후면 증착(backside deposition)을 방지한다. 전기 바이어스가 척킹 전극(210)을 통해 기판(400)에 제공된다. 척킹 전극(210)은 척킹 전극(210)에 바이어싱 전압을 공급하는 척킹 전원(212)과 전자 연통할 수 있다. 일 구현예에서, 척킹 전압은 약 10 볼트 내지 약 3000 볼트이다. 일 구현예에서, 척킹 전압은 약 100 볼트 내지 약 2000 볼트이다. 일 구현예에서, 척킹 전압은 약 200 볼트 내지 약 1000 볼트이다.
[0060] 동작(320) 동안에, 몇몇의 프로세스 파라미터들이 프로세스에 따라 조절될 수 있다. 300 ㎜의 기판을 프로세싱하기에 적합한 일 구현예에서, 프로세싱 용적부의 프로세스 압력은 약 0.1 mTorr 내지 약 10 Torr(예를 들어, 약 2 mTorr 내지 약 50 mTorr; 또는 약 5 mTorr 내지 약 20 mTorr)로 유지될 수 있다. 300 ㎜의 기판을 프로세싱하기에 적합한 일 구현예에서, 프로세싱 온도 및/또는 기판 온도는 약 -50 ℃ 내지 약 350 ℃(예를 들어, 약 0 ℃ 내지 약 50 ℃; 또는 약 10 ℃ 내지 약 20 ℃)로 유지될 수 있다.
[0061] 일 구현예에서, 일정한 척킹 전압이 기판(400)에 인가된다. 일 구현예에서, 척킹 전압이 정전 척(150)에 펄싱(pulsing)될 수 있다. 일부 구현예들에서, 척킹 전압을 인가하면서 후면 가스가 기판(400)에 인가되어 기판의 온도를 제어할 수 있다. 후면 가스들은 헬륨(He), 아르곤(Ar) 등을 포함(그러나 이에 제한되지 않음)할 수 있다.
[0062] 동작(330)에서, 제1 RF 바이어스를 정전 척에 인가함으로써 기판 레벨에서 플라즈마가 생성된다. 기판 레벨에서 생성된 플라즈마는 기판과 정전 척 사이의 플라즈마 영역에서 생성될 수 있다. 제1 RF 바이어스는 약 350 KHz 내지 약 100 MHz(예를 들어, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz)의 주파수에서 약 10 와트 내지 약 3000 와트일 수 있다. 일 구현예에서, 제1 RF 바이어스는 약 13.56 MHz의 주파수에서 약 2500 와트 내지 약 3000 와트의 전력으로 제공된다. 일 구현예에서, 제1 RF 바이어스는 제2 RF 전극(260)을 통해 정전 척(150)에 제공된다. 제2 RF 전극(260)은 제2 RF 전극(260)에 바이어싱 전압을 공급하는 제1 RF 전원(230)과 전자 연통할 수 있다. 일 구현예에서, 바이어스 전력은 약 10 와트 내지 약 3000 와트이다. 일 구현예에서, 바이어스 전력은 약 2000 와트 내지 약 3000 와트이다. 일 구현예에서, 바이어스 전력은 약 2500 와트 내지 약 3000 와트이다. 제1 RF 전원(230)은 약 350 KHz 내지 약 100 MHz(예를 들어, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz)의 주파수에서 전력을 생성할 수 있다.
[0063] 일부 구현예들에서, 동작(330)은 제2 RF 바이어스를 정전 척에 인가하는 단계를 더 포함한다. 제2 RF 바이어스는 약 350 KHz 내지 약 100 MHz(예를 들어, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz)의 주파수에서 약 10 와트 내지 약 3000 와트일 수 있다. 일 구현예에서, 제2 RF 바이어스는 약 2 MHz의 주파수에서 약 800 와트 내지 약 1200 와트의 전력으로 제공된다. 일 구현예에서, 제2 RF 바이어스는 척킹 전극(210)을 통해 기판(400)에 제공된다. 척킹 전극(210)은 척킹 전극(210)에 바이어싱 전압을 공급하는 제2 RF 전원(240)과 전자 연통할 수 있다. 일 구현예에서, 바이어스 전력은 약 10 와트 내지 약 3000 와트이다. 일 구현예에서, 바이어스 전력은 약 500 와트 내지 약 1500 와트이다. 일 구현예에서, 바이어스 전력은 약 800 와트 내지 약 1200 와트이다. 제2 RF 전원(240)은 약 350 KHz 내지 약 100 MHz(예를 들어, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz)의 주파수에서 전력을 생성할 수 있다. 일 구현예에서, 동작(320)에서 공급된 척킹 전압은 동작(330) 동안에 유지된다.
[0064] 일부 구현예들에서, 동작(330) 동안에, 제1 RF 바이어스는 척킹 전극(210)을 통해 기판(400)에 제공되고, 제2 RF 바이어스는 제2 RF 전극(260)을 통해 기판(400)에 제공될 수 있다. 일 구현예에서, 제1 RF 바이어스는 약 2500 와트(13.56 MHz)이고, 제2 RF 바이어스는 약 1000 와트(2 MHz)이다.
[0065] 동작(340) 동안에, 탄화수소-함유 가스 혼합물이 프로세싱 용적부(126) 내로 유동되어 막 스택 상에 다이아몬드형 탄소 막을 형성한다. 탄화수소-함유 가스 혼합물은 가스 분배 조립체(120)를 통해 또는 측벽(101)을 통해 가스 패널(130)로부터 프로세싱 용적부(126) 내로 유동될 수 있다. 탄화수소-함유 가스 혼합물은 적어도 하나의 탄화수소 화합물을 포함할 수 있다. 탄화수소-함유 가스 혼합물은 불활성 가스, 희석 가스, 질소-함유 가스, 에천트 가스 또는 이들의 조합들을 더 포함할 수 있다. 탄화수소는 임의의 액체 또는 가스일 수 있지만, 바람직한 전구체는 재료 계량, 제어 및 챔버로의 전달에 필요한 하드웨어를 단순화하기 위해 실온에서 증기일 것이다. 일부 구현예들에서, 동작(320) 동안에 공급된 척킹 전압은 동작(340) 동안에 유지된다. 일부 구현예들에서, 동작(320) 동안에 설정된 프로세스 조건들 및 동작(330) 동안에 형성된 플라즈마는 동작(340) 동안에 유지된다.
[0066] 일 구현예에서, 탄화수소 화합물은 가스 탄화수소이다. 일 구현예에서, 탄화수소 화합물은 일반 화학식 CxHy를 가지며, 여기서 x는 1 내지 20의 범위를 갖고, y는 1 내지 20의 범위를 갖는다. 적합한 탄화수소 화합물들은, 예를 들어 C2H2, C3H6, CH4, C4H8, 1,3-디메틸아다만탄, 비시클로[2.2.1]헵타-2,5-디엔 (2,5-노르보르나딘), 아다만틴(C10H16), 노르보르넨(C7H10), 또는 이들의 조합들을 포함한다. 일 예에서, 보다 많은 표면 이동도(surface mobility)를 허용하는 보다 안정적인 중간 종들을 형성하므로, C2H2가 바람직하다.
[0067] 일 구현예에서, 탄화수소 화합물은 알칸(alkane)(예를 들어, CnH2n+2, 여기서 n은 1 내지 20임)이다. 적합한 탄화수소 화합물들은, 예를 들어 알케인들, 예컨대 메탄(CH4), 에탄(C2H6), 프로필렌(C3H6), 프로판(C3H8), 부탄(C4H10) 및 그 이성질체 이소부탄, 펜탄(C5H12), 헥산(C6H14) 및 그 이성질체들 이소펜탄 및 네오펜탄, 헥산(C6H14) 및 그 이성질체들 2-메틸펜탄, 3-메틸펜탄, 2,3-디메틸부탄 및 2,2-디메틸부탄, 또는 이들의 조합들을 포함한다.
[0068] 일 구현예에서, 탄화수소 화합물은 알켄(alkene)(예를 들어, CnH2n, 여기서 n은 1 내지 20임)이다. 적합한 탄화수소 화합물들은, 예를 들어 알켄들, 예컨대 아세틸렌, 에틸렌, 프로필렌, 부틸렌 및 그 이성질체들, 펜텐 및 그 이성질체들 등, 디엔들, 예컨대 부타디엔, 이소프렌, 펜타디엔, 헥사디엔, 또는 이들의 조합들을 포함한다. 추가의 적합한 탄화수소들은, 예를 들어 할로겐화 알켄들, 예컨대 모노플루오로에틸렌, 디플루오로에틸렌들, 트리플루오로에틸렌, 테트라플루오로에틸엔, 모노클로로에틸렌, 디클로로에틸렌들, 트리클로로에틸렌, 테트라클로로에틸렌, 또는 이들의 조합들을 포함한다.
[0069] 일 구현예에서, 탄화수소 화합물은 알킨(alkyne)(예를 들어, CnH2n-2, 여기서 n은 1 내지 20임)이다. 적합한 탄화수소 화합물들은, 예를 들어 알킨들, 예컨대 프로핀(C3H4), 부틸렌(C4H8), 비닐아세틸렌, 또는 이들의 조합들을 포함한다.
[0070] 일 구현예에서, 탄화수소 화합물은 방향족 탄화수소 화합물, 예컨대 벤젠, 스티렌, 톨루엔, 크실렌, 에틸벤젠, 아세토페논, 메틸 벤조에이트, 페닐 아세테이트, 페놀, 크레졸, 푸란 등, 알파-테르피넨, 시멘, 1,1,3,3-테트라메틸부틸벤젠, t-부틸에테르, t-부틸에틸렌, 메틸-메타크릴레이트, 및 t-부틸푸르푸릴에테르, 화학식 C3H2 및 C5H4를 갖는 화합물들, 모노플루오로벤젠, 디플루오로벤젠들, 테트라플루오로벤젠들, 헥사플루오로벤젠을 포함하는 할로겐화 방향족 화합물들, 또는 이들의 조합들이다.
[0071] 일부 구현예들에서, 탄화수소-함유 가스 혼합물은 하나 이상의 희석 가스들을 더 포함한다. 그 중에서도, 헬륨(He), 아르곤(Ar), 크세논(Xe), 수소(H2), 질소(N2), 암모니아(NH3), 또는 이들의 조합들과 같은 적합한 희석 가스들이, 원하는 경우, 가스 혼합물에 첨가될 수 있다. Ar, He 및 N2는 다이아몬드형 탄소 층의 밀도 및 증착 속도를 제어하는 데 사용된다. 일부 경우들에서, N2 및/또는 NH3의 첨가는 아래에서 논의되는 바와 같이, 다이아몬드형 탄소 층의 수소 비율을 제어하는 데 사용될 수 있다. 대안적으로, 증착 동안에는 희석 가스들이 사용되지 않을 수 있다.
[0072] 일부 구현예들에서, 탄화수소-함유 가스 혼합물은 하나 이상의 질소-함유 가스들을 더 포함한다. 적합한 질소-함유 화합물들은, 예를 들어 피리딘, 지방족 아민, 아민들, 니트릴들, 암모니아 및 유사한 화합물들을 포함한다.
[0073] 일부 구현예들에서, 탄화수소-함유 가스 혼합물은 불활성 가스를 더 포함한다. 일부 구현예들에서, 아르곤(Ar) 및/또는 헬륨(He)과 같은 불활성 가스는 탄화수소-함유 가스 혼합물과 함께 프로세싱 용적부(126) 내로 공급될 수 있다. 다이아몬드형 탄소 층의 밀도 및 증착 속도를 제어하기 위해 질소(N2) 및 산화질소(NO)와 같은 다른 불활성 가스들이 또한 사용될 수 있다. 추가적으로, 다양한 다른 프로세싱 가스들이 탄화수소-함유 가스 혼합물에 첨가되어 다이아몬드형 탄소 재료의 특성들을 변경시킬 수 있다. 일 구현예에서, 다른 프로세싱 가스들은 반응성 가스들, 예컨대 수소(H2), 암모니아(NH3), 수소(H2)와 질소(N2)의 혼합물, 또는 이들의 조합들일 수 있다. H2 및/또는 NH3의 첨가는 증착된 다이아몬드형 탄소 층의 수소 비율(예를 들어, 탄소 대 수소 비율)을 제어하는 데 사용될 수 있다. 다이아몬드형 탄소 막에 존재하는 수소 비율은 반사율과 같은 층 특성들에 대한 제어를 제공한다.
[0074] 일부 구현예들에서, 탄화수소-함유 가스 혼합물은 에천트 가스를 더 포함한다. 적합한 에천트 가스들은 염소(Cl2), 사불화탄소(CF4), 삼불화질소(NF3), 또는 이들의 조합들을 포함한다. 이론에 얽매이지 않지만, 에천트 가스들은 막으로부터 sp2 혼성화 탄소 원자들을 선택적으로 에칭하고, 그에 따라 막 내의 sp3 혼성화 탄소 원자들의 분율을 증가시키며, 이는 막의 에칭 선택비를 증가시키는 것으로 여겨진다.
[0075] 일부 구현예에서, 동작(340) 동안에 다이아몬드형 탄소 층(412)이 기판 상에 형성된 후에, 다이아몬드형 탄소 층(412)은 수소 라디칼들에 노출된다. 일부 구현예들에서, 다이아몬드형 탄소 층은 동작(340)의 증착 프로세스 동안에 수소 라디칼들에 노출된다. 일부 구현예들에서, 수소 라디칼들은 RPS에서 형성되고 프로세싱 영역으로 전달된다. 이론에 얽매이지 않지만, 다이아몬드형 탄소 층을 수소 라디칼들에 노출시키는 것은 sp2 혼성화 탄소 원자들의 선택적 에칭을 초래하고, 그에 따라 막의 sp3 혼성화 탄소 원자 분율을 증가시키며, 그에 따라 에칭 선택비를 증가시키는 것으로 여겨진다.
[0076] 동작(350)에서, 다이아몬드형 탄소 층(412)이 기판 상에 형성된 후에, 기판이 척킹 해제(de-chucking)된다. 동작(350) 동안에, 척킹 전압이 턴-오프 된다. 반응성 가스들은 턴-오프 되고 프로세싱 챔버로부터 선택적으로 퍼지된다. 일 구현예에서, 동작(350) 동안에, RF 전력이 감소(예를 들어, ~200 W)된다. 선택적으로, 제어기(110)는 정전하들이 RF 경로를 통해 접지로 소산되는지를 결정하기 위해 임피던스 변화(impedance change)를 모니터링한다. 기판이 정전 척으로부터 척킹 해제되면, 잔류 가스들은 프로세싱 챔버로부터 퍼지된다. 프로세싱 챔버는 펌핑 다운되고, 기판은 리프트 핀들 위로 이동하여, 챔버 밖으로 이송된다.
[0077] 다이아몬드형 탄소 층(412)이 기판 상에 형성된 후에, 다이아몬드형 탄소 층(412)은 계단형 구조체와 같은 3 차원 구조체를 형성하기 위한 패터닝 마스크(patterning mask)로서 에칭 프로세스에 이용될 수 있다. 다이아몬드형 탄소 층(412)은 표준 포토레지스트 패터닝 기술들을 사용하여 패터닝될 수 있다. 패터닝된 포토레지스트(도시되지 않음)가 다이아몬드형 탄소 층(412) 위에 형성될 수 있다. 다이아몬드형 탄소 층(412)은 패터닝된 포토레지스트 층과 대응하는 패턴으로 에칭된 후에, 패턴이 기판(400) 내로 에칭될 수 있다. 다이아몬드형 탄소 층(412)의 에칭된 부분들 내에 재료가 증착될 수 있다. 다이아몬드형 탄소 층(412)은 과산화수소 및 황산을 포함하는 용액을 사용하여 제거될 수 있다. 과산화수소 및 황산을 포함하는 하나의 예시적인 용액은 피라냐 용액(Piranha solution) 또는 피라냐 에칭(Piranha etch)으로 알려져 있다. 다이아몬드형 탄소 층(412)은 또한 산소 및 할로겐들(예를 들어, 불소 또는 염소)을 함유하는 에칭 화학물질들, 예를 들어 Cl2/O2, CF4/O2, Cl2/O2/CF4를 사용하여 제거될 수 있다. 다이아몬드형 탄소 층(412)은 화학 기계적 연마(chemical mechanical polishing; CMP) 프로세스에 의해 제거될 수 있다.
[0078] 예들:
[0079] 하기의 비제한적인 예들은 본원에 설명된 구현예들을 추가로 설명하도록 제공된다. 그러나, 이 예들은 모든 것을 포함하도록 의도된 것이 아니며, 본원에 설명된 구현예들의 범위를 제한하도록 의도되지 않는다.
[0080] 일 구현예에서, 본 개시내용의 저응력, 고밀도 다이아몬드형 탄소 막은, 10 ℃의 온도에서 프로세스 가스들로서 150 sccm의 C2H2 및 100 sccm의 He를 유동시키고, 희석 가스로서 Ar 및/또는 He를 갖는 CVD 반응기에서 기판 페디스털(정전 척)을 통해 2500 와트의 RF(13.56 MHz) 전력 및 1000 와트의 RF(2 MHz) 전력을 인가함으로써 제조되었다. 결과적인 다이아몬드형 탄소 막은 1.94 g/cc의 밀도 및 -350 MPa의 응력, 및 현재 이용 가능한 비정질 탄소 막들보다 큰 에칭 선택비를 가졌다.
[0081] 도 5는 본 개시내용의 하나 이상의 구현예들에 따라 형성된 다이아몬드형 탄소 층에 대한 바이어스 전력의 함수로써 밀도를 나타내는 그래프(500)이다. 그래프(500)는 2 MHz의 주파수 및 13.56 MHz의 주파수에서 증착된 다이아몬드형 탄소 막들에 대한 바이어스 전력의 함수로써 밀도를 나타낸다. y-축은 증착된 막들의 밀도(g/cc)를 나타내고 x-축은 바이어스 전력(와트)을 나타낸다. 도 5에 도시된 바와 같이, 대부분에서, 바이어스 전력이 증가함에 따라, 증착 직후의 막의 밀도가 증가한다.
[0082] 도 6은 본 개시내용의 하나 이상의 구현예들에 따라 형성된 다이아몬드형 탄소 층에 대한 바이어스 전력의 함수로써 응력을 나타내는 그래프(600)이다. 그래프(600)는 2 MHz의 주파수 및 13.56 MHz의 주파수에서 증착된 다이아몬드형 탄소 막들에 대한 바이어스 전력의 함수로써 응력을 나타낸다. y-축은 증착된 막들의 응력(MPa)을 나타내고, x-축은 바이어스 전력(와트)을 나타낸다. 도 6에 도시된 바와 같이, 대부분에서, 바이어스 전력이 증가함에 따라, 증착 직후의 막의 응력이 증가한다.
[0083] 도 7은 본 개시내용의 하나 이상의 구현예들에 따라 형성된 다이아몬드형 탄소 층에 대한 압력의 함수로써 밀도 및 응력을 나타내는 그래프(700)이다. y-축은 증착된 막들의 밀도(g/cc) 및 응력(MPa)을 나타내고, x-축은 프로세스 압력(mTorr)을 나타낸다. 도 7에 도시된 바와 같이, 압력이 낮을수록 약간 밀도가 높아지고 응력이 낮아지며, 압력이 높을수록 압축 응력이 높아진다.
[0084] 극자외선("EUV") 패터닝 방식들
[0085] 하부 층의 선택은 극자외선("EUV") 패터닝 방식들에서 금속-함유 포토레지스트들을 사용하는 경우에 반도체 디바이스들에 있어서의 나노불량들(nanofailures)(예를 들어, 브리징 결함들(bridging defects) 및 간격 결함들)을 방지하는 데 중요하다. EUV 패터닝(리소그래피) 방식들에 대한 종래의 하부 층들은 스핀 온 카본(spin on carbon; SOC) 재료들이다. 그러나, 패터닝 동안에, 주석과 같은 금속들은, 예를 들어 SOC 재료들을 통해 확산하여 반도체 디바이스에 나노불량들을 야기한다. 그러한 나노불량들은 반도체 성능을 감소, 저하 및 저해하는 작용을 한다.
[0086] 한편, 본원에 설명된 고밀도 탄소 막들은 개선된 경도 및 밀도와 같은 우수한 막 품질들을 갖는다. 그러한 경도 및 밀도는 고밀도 탄소 막이 금속 침투(metal infiltration)에 대한 보다 강력한 배리어(barrier)로서 작용하고, 종래의 SOC 막들보다 큰 정도로 나노불량들을 방지하고 최소한 감소시킬 수 있게 한다.
[0087] 일부 구현예들에서, 극자외선("EUV") 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막이 제공된다. 일부 구현예들에서, 막(및/또는 증착 직후의 막)은 하기의 특성들 중 하나 이상을 갖는다:
[0088] 1) 증착 직후의 막 내의 탄소 원자들의 총량을 기준으로 적어도 40, 45, 50, 55, 60, 65, 70, 75, 80, 또는 85 퍼센트인 sp3 혼성화 탄소 원자들의 양/백분율(즉, sp3 혼성화 탄소 원자 함량). 이들 또는 다른 구현예들에서, sp3 혼성화 탄소 원자들의 양/백분율은 증착 직후의 막 내의 탄소 원자들의 총량을 기준으로 최대 45, 50, 55, 60, 65, 70, 75, 80, 85, 또는 90 퍼센트이다. 이들 또는 다른 구현예들에서, sp3 혼성화 탄소 원자들의 양/백분율은 증착 직후의 막 내의 탄소 원자들의 총량을 기준으로 약 50 내지 약 90 퍼센트(예컨대, 약 60 내지 약 70 퍼센트)이다.
[0089] 2) 약 5 Å 내지 약 20,000 Å(예를 들어, 약 300 Å 내지 약 5000 Å, 예컨대 약 2000 Å 내지 약 3000 Å)인 두께. 대안적으로, 약 5 Å 내지 약 200 Å인 두께.
[0090] 3) 2.0 초과, 예를 들어 약 2.0 내지 약 3.0, 예컨대 2.3인 굴절률 또는 n-값((633 nm에서의) n).
[0091] 4) 0.1 초과, 예를 들어 약 0.2 내지 약 0.3, 예컨대 0.25인 흡광 계수 또는 k-값((633 nm에서의) K).
[0092] 5) 약 -300 MPa 미만, 예를 들어 약 -600 MPa 내지 약 -300 MPa, 약 -600 MPa 내지 약 -500 MPa, 예컨대 약 -550 MPa인 응력(MPa).
[0093] 6) 1.8 g/cc 초과, 예를 들어 약 2.0 g/cc 이상, 약 2.5 g/cc 이상, 예컨대 약 1.8 g/cc 내지 약 2.5 g/cc인 밀도(g/cc).
[0094] 7) 150 GPa 초과, 예를 들어 약 200 내지 약 400 GPa인 탄성 모듈러스(GPa).
[0095] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 본원에 설명된 임의의 막일 수 있다.
[0096] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 막 내의 탄소 원자들의 총량을 기준으로 40% 내지 90%의 sp3 혼성화 탄소 원자 함량; 1.8 g/cc 내지 2.5 g/cc의 밀도; 및 150 GPa 내지 400 GPa인 탄성 모듈러스를 갖는다.
[0097] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 2.0 g/cc 내지 2.2 g/cc의 밀도; 및 약 180 GPa 내지 약 200 GPa의 탄성 모듈러스를 갖는다. 일부 구현예들에서, 막은 약 2.1 g/cc의 밀도 및 약 195 GPa의 탄성 모듈러스를 갖는다.
[0098] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 -600 MPa의 응력; 2.0 내지 3.0인 굴절률; 및 0.2 내지 0.3의 흡광 계수를 갖는다.
[0099] 따라서, 반도체 디바이스들의 3 차원 적층을 제조하기 위한 계단형 구조체들을 형성하는 데 이용될 수 있는 다이아몬드형 탄소 하드마스크 층을 형성하기 위한 방법들 및 장치가 제공된다. 원하는 강인한 막 특성들 및 에칭 선택비를 갖는 다이아몬드형 하드마스크 층을 이용함으로써, 막 스택에 형성된 결과적인 구조체들의 개선된 치수 및 프로파일 제어가 얻어질 수 있고, 칩 디바이스들의 전기적 성능이 반도체 디바이스들의 3 차원 적층을 위한 응용들에서 향상될 수 있다.
[00100] 요약하면, 본 개시내용의 이익들 중 일부는 기판 상에 다이아몬드형 하드마스크 막들을 증착하기 위한 프로세스를 제공하는 것이다. 전형적인 PECVD 하드마스크 막들은 매우 낮은 퍼센트의 혼성화 sp3 원자들 및 그에 따른 낮은 모듈러스 및 에칭 선택비를 갖는다. 본원에 설명된 일부 구현예들에서, 낮은 프로세스 압력들(mTorr 대 Torr) 및 하부 구동 플라즈마(bottom driven plasma)는 약 60% 이상의 혼성화 sp3 원자들을 갖는 막들의 제조를 가능하게 하여, 이전에 이용 가능한 하드마스크 막들에 비해 에칭 선택비가 개선되게 한다. 또한, 본원에 설명된 구현예들 중 일부는 낮은 기판 온도들에서 수행되며, 이는 현재 가능한 것보다 훨씬 낮은 온도들에서 다른 유전체 막들의 증착을 가능하게 하여, CVD에 의해 현재 해결될 수 없는 낮은 열적 비용을 갖는 응용들을 가능하게 한다. 추가적으로, 본원에 설명된 구현예들 중 일부는 EUV 리소그래피 프로세스를 위한 하부 층으로서 사용될 수 있다.
[00101] 본 개시내용의 요소들 또는 그것의 예시적인 양상들 또는 구현예(들)를 도입하는 경우, 단수 표현들은 하나 이상의 요소들이 존재한다는 것을 의미하도록 의도된다.
[00102] 용어들 "포함하는", "구비하는" 및 "갖는"은 포괄적인 것이며, 열거된 요소들 이외의 추가 요소들이 존재할 수 있다는 것을 의미하도록 의도된다.
[00103] 전술한 바가 본 개시내용의 구현예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있으며, 본 개시내용의 범위는 하기의 청구범위에 의해 결정된다.

Claims (20)

  1. 기판을 프로세싱하는 방법으로서,
    정전 척 상에 포지셔닝된 상기 기판을 갖는 프로세스 챔버의 프로세싱 용적부(processing volume) 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계 ― 상기 프로세싱 용적부는 0.5 mTorr 내지 10 mTorr의 압력으로 유지되고 상기 탄화수소-함유 가스 혼합물은 탄화수소 함유 전구체 및 에천트 가스를 포함함 ―; 및
    상기 탄화수소-함유 가스 혼합물로부터 상기 기판 상에 탄소 막을 증착하기 위해 제1 RF 바이어스를 상기 정전 척에 인가함으로써 상기 프로세싱 용적부 내에 플라즈마를 생성하는 단계를 포함하며,
    상기 탄소 막은 적어도 50 퍼센트의 sp3 혼성화 탄소 원자들을 함유하고, 상기 기판은 -50 ℃ 내지 350 ℃의 온도로 유지되는,
    기판을 프로세싱하는 방법.
  2. 제1 항에 있어서,
    상기 에천트 가스는 Cl2, CF4 또는 NF3 중 하나 이상을 포함하는,
    기판을 프로세싱하는 방법.
  3. 제2 항에 있어서,
    상기 탄화수소-함유 전구체는 알칸(alkane)인,
    기판을 프로세싱하는 방법.
  4. 제3 항에 있어서,
    상기 탄화수소-함유 전구체는 메탄, 에탄, 프로필렌, 프로판, 부탄, 이소부탄, 펜탄, 헥산, 이소펜탄, 네오펜탄, 헥산, 2-메틸펜탄, 3-메틸펜탄, 2,3-디메틸부탄, 및 2,2-디메틸부탄 중 하나 또는 이들의 조합을 포함하는,
    기판을 프로세싱하는 방법.
  5. 제1 항에 있어서,
    적어도 75 퍼센트의 sp3 혼성화 탄소 원자들이 존재하는,
    기판을 프로세싱하는 방법.
  6. 제1 항에 있어서,
    상기 기판의 온도는 0 ℃ 내지 50 ℃로 유지되는,
    기판을 프로세싱하는 방법.
  7. 제1 항에 있어서,
    상기 제1 RF 바이어스는 350 KHz 내지 100 MHz의 주파수에서 10 와트 내지 3000 와트의 전력으로 제공되는,
    기판을 프로세싱하는 방법.
  8. 제7 항에 있어서,
    상기 제1 RF 바이어스는 350 KHz 내지 100 MHz의 주파수에서 2500 와트 내지 3000 와트의 전력으로 제공되는,
    기판을 프로세싱하는 방법.
  9. 제1 항에 있어서,
    상기 탄화수소-함유 가스 혼합물은 하나 이상의 희석 가스를 더 포함하는,
    판을 프로세싱하는 방법.
  10. 제9 항에 있어서,
    상기 하나 이상의 희석 가스는 헬륨, 아르곤, 크세논, 수소, 질소, 암모니아, 또는 이들의 조합들을 포함하는,
    기판을 프로세싱하는 방법.
  11. 기판을 프로세싱하는 방법으로서,
    정전 척 상에 포지셔닝된 상기 기판을 갖는 프로세스 챔버의 프로세싱 용적부 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계 ― 상기 정전 척은 척킹 전극(chucking electrode) 및 상기 척킹 전극과는 이격된 RF 전극을 구비하며, 상기 프로세싱 용적부는 0.5 mTorr 내지 10 mTorr의 압력으로 유지되고 상기 탄화수소-함유 가스 혼합물은 탄화수소 함유 전구체, 희석 가스, 및 에천트 가스를 포함함 ―; 및
    상기 탄화수소-함유 가스 혼합물로부터 상기 기판 상에 탄소 막을 증착하기 위해 제1 RF 바이어스를 상기 정전 척에 인가함으로써 상기 프로세싱 용적부 내에 플라즈마를 생성하는 단계를 포함하며,
    상기 탄소 막은 적어도 50 퍼센트의 sp3 혼성화 탄소 원자들을 함유하는,
    기판을 프로세싱하는 방법.
  12. 제11 항에 있어서,
    상기 기판은 -50 ℃ 내지 350 ℃의 온도로 유지되는,
    기판을 프로세싱하는 방법.
  13. 제11 항에 있어서,
    상기 에천트 가스는 Cl2, CF4 또는 NF3 중 하나 이상을 포함하는,
    기판을 프로세싱하는 방법.
  14. 제11 항에 있어서,
    상기 탄화수소-함유 전구체는 알칸(alkane)인,
    기판을 프로세싱하는 방법.
  15. 제14 항에 있어서,
    상기 탄화수소-함유 전구체는 메탄, 에탄, 프로필렌, 프로판, 부탄, 이소부탄, 펜탄, 헥산, 이소펜탄, 네오펜탄, 헥산, 2-메틸펜탄, 3-메틸펜탄, 2,3-디메틸부탄, 및 2,2-디메틸부탄 중 하나 또는 이들의 조합을 포함하는,
    기판을 프로세싱하는 방법.
  16. 제11 항에 있어서,
    적어도 75 퍼센트의 sp3 혼성화 탄소 원자들이 존재하는,
    기판을 프로세싱하는 방법.
  17. 제11 항에 있어서,
    상기 기판의 온도는 0 ℃ 내지 50 ℃로 유지되는,
    기판을 프로세싱하는 방법.
  18. 기판을 프로세싱하는 방법으로서,
    정전 척 상에 포지셔닝된 상기 기판을 갖는 프로세스 챔버의 프로세싱 용적부 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계 ― 상기 프로세싱 용적부는 0.5 mTorr 내지 10 mTorr의 압력으로 유지되고 상기 탄화수소-함유 가스 혼합물은 탄화수소 함유 전구체 및 에천트 가스를 포함하고, 상기 탄화수소 함유 전구체는 C2H2, C3H6, CH4, C4H8, 비시클로[2.2.1]헵타-2,5-디엔 (2,5-노르보르나딘), 노르보르넨(C7H10), 또는 이들의 조합으로 이루어진 군으로부터 선택됨 ―; 및
    상기 탄화수소-함유 가스 혼합물로부터 상기 기판 상에 다이아몬드형 탄소 막(diamond-like carbon film)을 증착하기 위해 제1 RF 바이어스를 상기 정전 척에 인가함으로써 상기 프로세싱 용적부 내에 플라즈마를 생성하는 단계를 포함하며,
    상기 기판은 -50 ℃ 내지 350 ℃의 온도로 유지되고, 상기 다이아몬드형 탄소 막은 적어도 50 퍼센트의 sp3 혼성화 탄소 원자들을 함유하고 1.8 g/cc 내지 2.5 g/cc의 밀도 및 -600 MPa 내지 -300 MPa의 응력을 갖는,
    기판을 프로세싱하는 방법.
  19. 제18 항에 있어서,
    상기 에천트 가스는 Cl2, CF4 또는 NF3 중 하나 이상을 포함하는,
    기판을 프로세싱하는 방법.
  20. 제18 항에 있어서,
    상기 다이아몬드형 탄소 막은 적어도 75 퍼센트의 sp3 혼성화 탄소 원자들을 함유하고 상기 기판의 온도는 상기 다이아몬드형 탄소 막의 증착 동안 0 ℃ 내지 50 ℃로 유지되는,
    기판을 프로세싱하는 방법.
KR1020217033975A 2017-06-08 2018-05-15 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들 KR102604084B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762516828P 2017-06-08 2017-06-08
US62/516,828 2017-06-08
KR1020197037346A KR102505216B1 (ko) 2017-06-08 2018-05-15 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들
PCT/US2018/032684 WO2018226370A1 (en) 2017-06-08 2018-05-15 High-density low temperature carbon films for hardmask and other patterning applications

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197037346A Division KR102505216B1 (ko) 2017-06-08 2018-05-15 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들

Publications (2)

Publication Number Publication Date
KR20210129276A KR20210129276A (ko) 2021-10-27
KR102604084B1 true KR102604084B1 (ko) 2023-11-17

Family

ID=64563698

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217033975A KR102604084B1 (ko) 2017-06-08 2018-05-15 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들
KR1020197037346A KR102505216B1 (ko) 2017-06-08 2018-05-15 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020197037346A KR102505216B1 (ko) 2017-06-08 2018-05-15 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들

Country Status (6)

Country Link
US (1) US11043372B2 (ko)
JP (3) JP7235683B2 (ko)
KR (2) KR102604084B1 (ko)
CN (3) CN117524848A (ko)
TW (2) TWI819388B (ko)
WO (1) WO2018226370A1 (ko)

Families Citing this family (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20200130490A (ko) 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들
WO2019212799A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Rf grounding configuration for pedestals
SG11202009289PA (en) 2018-05-03 2020-11-27 Applied Materials Inc Pulsed plasma (dc/rf) deposition of high quality c films for patterning
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
TWI830751B (zh) * 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
KR20210066936A (ko) 2018-10-26 2021-06-07 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 고밀도 탄소 막들
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
WO2020242799A1 (en) 2019-05-24 2020-12-03 Applied Materials, Inc. Substrate processing chamber
US11587773B2 (en) * 2019-05-24 2023-02-21 Applied Materials, Inc. Substrate pedestal for improved substrate processing
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11550222B2 (en) 2019-08-01 2023-01-10 Applied Materials, Inc. Dose reduction of patterned metal oxide photoresists
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN114641591A (zh) 2019-10-25 2022-06-17 应用材料公司 用于沉积高品质pvd膜的方法
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
WO2022005700A1 (en) * 2020-06-29 2022-01-06 Applied Materials, Inc. Methods for producing high-density doped-carbon films for hardmask and other patterning applications
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11421324B2 (en) * 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
US11699585B2 (en) 2020-10-21 2023-07-11 Applied Materials, Inc. Methods of forming hardmasks
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20230022359A1 (en) * 2021-07-22 2023-01-26 Applied Materials, Inc. Methods, apparatus, and systems for maintaining film modulus within a predetermined modulus range
US20230054444A1 (en) * 2021-08-18 2023-02-23 Applied Materials, Inc. Bipolar esc with balanced rf impedance
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230335402A1 (en) * 2022-04-15 2023-10-19 Applied Materials, Inc. Methods of forming thermally stable carbon film

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007084919A (ja) * 2005-07-29 2007-04-05 Applied Materials Inc デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60261143A (ja) * 1984-06-07 1985-12-24 Fujitsu Ltd 半導体装置の製造方法
JPS6240375A (ja) * 1985-08-14 1987-02-21 Kanegafuchi Chem Ind Co Ltd 硬質カ−ボン膜
EP0221531A3 (en) 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha High heat conductive insulated substrate and method of manufacturing the same
JPH07268622A (ja) 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
JP3119172B2 (ja) * 1995-09-13 2000-12-18 日新電機株式会社 プラズマcvd法及び装置
TW422892B (en) * 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
MY132894A (en) 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
KR100610130B1 (ko) * 1999-05-19 2006-08-09 미쯔비시 쇼지 플라스틱 가부시키가이샤 Dlc막, dlc막 코팅 플라스틱 용기, 그 제조장치 및그 제조방법
US6783589B2 (en) * 2001-01-19 2004-08-31 Chevron U.S.A. Inc. Diamondoid-containing materials in microelectronics
JP4599734B2 (ja) * 2001-03-14 2010-12-15 ソニー株式会社 多結晶性半導体薄膜の形成方法、及び半導体装置の製造方法
JP4686914B2 (ja) * 2001-06-20 2011-05-25 凸版印刷株式会社 ステンシルマスクの製造方法
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
JP2004228383A (ja) * 2003-01-23 2004-08-12 Nikon Corp 露光装置
JP2004246987A (ja) * 2003-02-14 2004-09-02 Fujitsu Ltd 素子形成用ウエハ構造体、素子の製造方法、磁気記録ヘッド及び磁気ディスク装置
JP4653964B2 (ja) * 2003-04-08 2011-03-16 株式会社栗田製作所 Dlc膜の成膜方法およびdlc成膜物
JP4256763B2 (ja) * 2003-11-19 2009-04-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8808856B2 (en) 2005-01-05 2014-08-19 Pureron Japan Co., Ltd. Apparatus and method for producing carbon film using plasma CVD and carbon film
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
JP4946296B2 (ja) * 2006-03-30 2012-06-06 凸版印刷株式会社 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク、並びに、半導体装置の製造方法
KR100812504B1 (ko) 2006-09-05 2008-03-11 성균관대학교산학협력단 전도성 고경도 탄소박막의 제조 방법 및 박막 전계 발광소자용 전극으로의 응용
US8500963B2 (en) 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
US8105660B2 (en) 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
US20090029067A1 (en) * 2007-06-28 2009-01-29 Sciamanna Steven F Method for producing amorphous carbon coatings on external surfaces using diamondoid precursors
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP5144562B2 (ja) * 2008-03-31 2013-02-13 日本碍子株式会社 Dlc膜量産方法
JP4755262B2 (ja) 2009-01-28 2011-08-24 株式会社神戸製鋼所 ダイヤモンドライクカーボン膜の製造方法
US8455060B2 (en) * 2009-02-19 2013-06-04 Tel Epion Inc. Method for depositing hydrogenated diamond-like carbon films using a gas cluster ion beam
US8697197B2 (en) * 2009-07-08 2014-04-15 Plasmasi, Inc. Methods for plasma processing
KR20110115291A (ko) * 2010-04-15 2011-10-21 경북대학교 산학협력단 Dlc 코팅장치
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
KR20120121340A (ko) 2011-04-26 2012-11-05 삼성전자주식회사 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법
US20120276743A1 (en) * 2011-04-26 2012-11-01 Jai-Hyung Won Methods of forming a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
EP2587518B1 (en) 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
CN103594495A (zh) * 2012-08-16 2014-02-19 中国科学院微电子研究所 半导体器件及其制造方法
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
WO2014149175A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
KR101707763B1 (ko) * 2013-05-24 2017-02-16 미쯔이가가꾸가부시끼가이샤 펠리클 및 이것을 포함하는 euv 노광 장치
US9583358B2 (en) * 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
US9984915B2 (en) * 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
TWI670831B (zh) * 2014-09-03 2019-09-01 美商應用材料股份有限公司 用於三維nand硬遮罩應用的奈米結晶鑽石碳膜
US9368721B1 (en) * 2014-11-25 2016-06-14 Intermolecular, Inc. Diamond like carbon (DLC) as a thermal sink in a selector stack for non-volatile memory application
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9695593B2 (en) 2015-11-10 2017-07-04 Detec Systems Llc Leak detection in roof membranes
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
TW202403083A (zh) * 2018-06-19 2024-01-16 美商應用材料股份有限公司 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007084919A (ja) * 2005-07-29 2007-04-05 Applied Materials Inc デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法

Also Published As

Publication number Publication date
KR102505216B1 (ko) 2023-02-28
US11043372B2 (en) 2021-06-22
TWI785060B (zh) 2022-12-01
JP7235683B2 (ja) 2023-03-08
CN113936997A (zh) 2022-01-14
CN110622280A (zh) 2019-12-27
CN110622280B (zh) 2023-11-24
KR20200006129A (ko) 2020-01-17
JP2023134494A (ja) 2023-09-27
CN117524848A (zh) 2024-02-06
TW201908518A (zh) 2019-03-01
JP2022023933A (ja) 2022-02-08
TW202206642A (zh) 2022-02-16
JP2020523786A (ja) 2020-08-06
KR20210129276A (ko) 2021-10-27
WO2018226370A1 (en) 2018-12-13
US20180358222A1 (en) 2018-12-13
TWI819388B (zh) 2023-10-21
JP7301931B2 (ja) 2023-07-03

Similar Documents

Publication Publication Date Title
KR102604084B1 (ko) 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들
US11728168B2 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
US11664226B2 (en) Methods for producing high-density carbon films for hardmasks and other patterning applications
US20200266064A1 (en) Method of processing a substrate
US11664214B2 (en) Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
KR20230027297A (ko) 하드마스크들 및 다른 패터닝 애플리케이션들을 위한 고밀도 질소-도핑된 탄소 막들을 생산하기 위한 방법들

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant