JP7235683B2 - ハードマスク及びその他のパターニング応用のための高密度低温炭素膜 - Google Patents

ハードマスク及びその他のパターニング応用のための高密度低温炭素膜 Download PDF

Info

Publication number
JP7235683B2
JP7235683B2 JP2019567614A JP2019567614A JP7235683B2 JP 7235683 B2 JP7235683 B2 JP 7235683B2 JP 2019567614 A JP2019567614 A JP 2019567614A JP 2019567614 A JP2019567614 A JP 2019567614A JP 7235683 B2 JP7235683 B2 JP 7235683B2
Authority
JP
Japan
Prior art keywords
substrate
diamond
watts
bias
mhz
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019567614A
Other languages
English (en)
Other versions
JP2020523786A (ja
Inventor
エスワラナンド ベンカタサブラマニアン,
サミュエル イー. ゴットハイム,
ヤン ヤン,
プラミット マンナ,
カーティク ラーマスワーミ,
武仁 越澤
アブヒジット バス マリック,
シュリーニヴァース ガンディコッタ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020523786A publication Critical patent/JP2020523786A/ja
Priority to JP2021176077A priority Critical patent/JP7301931B2/ja
Application granted granted Critical
Publication of JP7235683B2 publication Critical patent/JP7235683B2/ja
Priority to JP2023102064A priority patent/JP2023134494A/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/272Diamond only using DC, AC or RF discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Description

本開示の実行形態は概して、集積回路の製造に関する。より具体的には、本書に記載の実行形態は、パターニング応用向けに高密度膜を堆積させるための技法を提供する。
関連技術の説明
集積回路は、単一チップ上に数百万個ものトランジスタ、コンデンサ、及び抵抗器が含まれうる、複雑なデバイスへと進化を遂げている。チップ設計の進化には、より高速な回路及びより高い回路密度が、継続的に必要になる。より高い回路密度を有する高速回路を求める需要により、かかる集積回路の製造に使用される材料についても、相応の要求が課されている。具体的には、集積回路構成要素の寸法がサブミクロン単位まで小さくなるにつれ、かかる構成要素から好適な電気的性能を得るために、低抵抗率の導電性材料だけでなく低誘電率の絶縁材料を使用することも、現在必要になっている。
集積回路の高密度化を求める需要により、集積回路構成要素の製造に使用されるプロセスシーケンスについての要求も課されている。例えば、従来型のフォトリソグラフィ技法を使用するプロセスシーケンスでは、基板上に配置された材料層の積層体の上に、エネルギー感応性レジストの層が形成される。このエネルギー感応性レジスト層は、パターンの画像に露光されてフォトレジストマスクを形成する。その後、このマスクパターンは、エッチングプロセスを使用して、積層体の材料層のうちの一又は複数に転写される。このエッチングプロセスで使用される化学エッチング剤(chemical etchant)は、エネルギー感応性レジストのマスクに対してよりも積層体の材料層に対して高いエッチング選択性を有するよう、選択される。つまり、化学エッチング剤は、材料積層体の一又は複数の層を、エネルギー感応性レジストよりもずっと速い速度でエッチングする。レジストを凌駕する、積層体の一又は複数の材料層に対するこのエッチング選択性は、パターン転写の完了以前にエネルギー感応性レジストが消費されることを防止する。
パターン寸法が小さくなるにつれ、これに応じてエネルギー感応性レジストの厚さも、パターン解像度を制御するために薄くされる。かかる薄型レジスト層は、化学エッチング剤の浸食により、パターン転写ステップ中に下にある材料層をマスキングするのに不十分でありうる。多くの場合、パターン転写を促進するために、ハードマスクと称される中間層(例えば酸窒化ケイ素、シリコンカーバイン、又は炭素の膜)が、エネルギー感応性レジスト層とその下の材料層との間に使用されるが、これは、化学エッチング剤に対する中間層の耐性の方が大きいからである。高いエッチング選択性と速い堆積速度の両方を有するハードマスク材料が、求められている。限界寸法(CD)が小さくなっているので、既存のハードマスク材料は、下層材料(例えば酸化物及び窒化物)と比較して望ましいエッチング選択性が欠如しており、かつ多くの場合、堆積が困難である。
したがって、当該技術分野において、改良型のハードマスク層、及び改良型のハードマスク層を堆積させるための方法が、必要とされている。
本開示の実行形態は概して、集積回路の製造に関する。より具体的には、本書に記載の実行形態は、パターニング応用向けに高密度膜を堆積させるための技法を提供する。一実行形態では、基板を処理する方法が提供される。この方法は、静電チャック上に基板が位置付けられている、プロセスチャンバの処理空間内に、炭化水素を含有する混合ガスを流入させることを含む。基板は、約0.5mTorr~約10Torrの圧力において維持される。方法は、基板上にダイヤモンド状炭素膜を堆積させるために、静電チャックに第1RFバイアスを印加することにより基板の水平面においてプラズマを生成することを更に含む。ダイヤモンド状炭素膜は、1.8g/ccを上回る密度と、-500MPaを下回る応力とを有する。
別の実行形態では、基板を処理する方法が提供される。この方法は、静電チャック上に基板が位置付けられている、プロセスチャンバの処理空間内に、炭化水素を含有する混合ガスを流入させることを含む。基板は約0.5mTorr~約10Torrの圧力において維持され、炭化水素を含有する混合ガスはアセチレン(C)を含む。方法は、基板上にダイヤモンド状炭素膜を堆積させるために、静電チャックに第1RFバイアスと第2RFバイアスとを印加することにより基板の水平面においてプラズマを生成することを更に含む。ダイヤモンド状炭素膜は、約1.8g/cc~約2.5g/ccの密度と、およそ-600MPa~およそ-300MPaの応力とを有する。
更に別の実行形態では、基板を処理する方法が提供される。この方法は、静電チャック上に基板が位置付けられている、プロセスチャンバの処理空間内に、炭化水素を含有する混合ガスを流入させることを含む。処理空間は、約0.5mTorr~約10Torrの圧力に維持される。方法は、基板上にダイヤモンド状炭素膜を堆積させるために、静電チャックに第1RFバイアスと第2RFバイアスとを印加することにより基板の水平面においてプラズマを生成することを更に含む。ダイヤモンド状炭素膜は、約1.8g/cc~約2.5g/ccの密度と、およそ-1000MPa~およそ-100MPa(例えば、およそ-600MPa~およそ-300MPa)の応力とを有する。方法は、ダイヤモンド状炭素膜の上にパターニングされたフォトレジスト層を形成することを更に含む。方法は、パターニングされたフォトレジスト層と一致するパターンに、ダイヤモンド状炭素をエッチングすることを更に含む。方法は、基板にパターンをエッチングすることを更に含む。方法は、ダイヤモンド状炭素膜のエッチングされた部分の中に材料を堆積させることを更に含む。
更に別の実行形態では、EUVリソグラフィプロセスの向けの下層として使用される膜が、提供される。この膜は、sp混成炭素(hybridized carbon)原子の含有量が、膜中の炭素原子の総量に基づいて40%~90%であり、密度が1.8g/cc~2.5g/ccであり、かつ弾性率が150GPa~400GPaである。一部の実行形態では、膜は、2.0g/cc~2.5g/ccの密度と、180GPa~200GPaの弾性率とを有する。一部の実行形態では、膜は、-600MPaの応力と、2.0~3.0の屈折率と、0.2~0.3の吸光係数とを有する。
上述した本開示の特徴を詳しく理解しうるように、実行形態(上記で簡単に要約されている)のより具体的な説明が、実行形態を参照することによって得られ、一部の実行形態については、付随する図面に示している。しかし、本開示は他の等しく有効な実行形態も許容しうることから、付随する図面はこの開示の典型的な実行形態を示しているにすぎず、したがって、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
本書に記載の実行形態を実践する上で使用されうる堆積システムの概略断面図を示す。 本書に記載の実行形態を実践する上で使用されうる別の堆積システムの概略断面図を示す。 本書に記載の実行形態を実践する上で図1A及び図1Bの装置において使用されうる、静電チャックの概略断面図を示す。 本開示の一又は複数の実行形態により、基板上に配置された膜積層体の上にダイヤモンド状炭素層を形成するための方法のフロー図を示す。 本開示の一又は複数の実行形態により、基板上に形成された膜積層体の上にダイヤモンド状炭素層を形成するためのシーケンスの一実行形態を示す。 本開示の一又は複数の実行形態により形成されたダイヤモンド状炭素層について、バイアス電力の関数としての密度を示しているグラフである。 本開示の一又は複数の実行形態により形成されたダイヤモンド状炭素層について、バイアス電力の関数としての応力を示しているグラフである。 本開示の一又は複数の実行形態により形成されたダイヤモンド状炭素層について、圧力の関数としての密度及び応力を示しているグラフである。
理解を容易にするために、複数の図に共通する同一の要素を指し示すのに、可能な限り同一の参照番号を使用した。1つの実行形態の要素及び特徴は、更なる記述がなくとも他の実行形態に有益に組み込まれうると想定される。
以下の開示は、基板上にダイヤモンド状炭素膜を堆積させるための技法について説明している。本開示の様々な実行形態についての網羅的な理解を提供するために、特定の詳細事項を、以下の説明及び図1~7において明記する。様々な実行形態の説明を不必要に曖昧にすることを避けるために、プラズマ処理及びダイヤモンド状炭素膜の堆積に関連することが多い周知の構造及びシステムについて説明するその他の詳細事項は、以下の開示に明記しない。
図に示している詳細事項、寸法、角度、及びその他の特徴の多くは、具体的な実行形態を例示するものにすぎない。したがって、他の実行形態は、本開示の主旨及び範囲から逸脱することなく、その他の詳細事項、構成要素、寸法、角度、及び特徴を有することが可能である。加えて、本開示の更なる実行形態は、後述する詳細事項のうちのいくつかがなくとも実践されうる。
本書に記載の実行形態は、任意の好適な薄膜堆積システムを使用して実施されうるPECVDプロセスに関連して、後述される。好適なシステムの例は、DXZ(登録商標)処理チャンバを使用しうるCENTURA(登録商標)システム、PRECISION5000(登録商標)システム、PRODUCER(登録商標)システム、PRODUCER(登録商標)GT(商標)システム、PRODUCER(登録商標)XP Precision(商標)システム、PRODUCER(登録商標)SE(商標)システム、Sym3(登録商標)処理チャンバ、及びMesa(商標)処理チャンバを含み、これらは全て、カリフォルニア州Santa ClaraのApplied Materials,inc.から市販されている。PECVDプロセスを実施可能なその他のツールも、本書に記載の実行形態から恩恵を得るよう適合していることがある。加えて、本書に記載のPECVDプロセスを可能にする任意のシステムが、有利に使用されうる。本書に記載の装置の説明は、例示であり、本書に記載の実行形態の範囲を限定するものと理解すべきでも、解釈すべきでもない。
メモリ及びその他のデバイス向けの既存のハードマスクの応用は、厚型炭素膜(例えば300ナノメーTorr~1.5ミクロン)を、大いに活用するものである。かかる炭素膜は、元来非晶質(amorphous)であるが、そのエッチング選択性は、これからの技術ノードの、益々厳格になる要件を満たし、かつ高アスペクト比エッチングに合致するのに、もはや十分ではない。より高いエッチング選択性を実現するためには、膜の密度及びヤング率が改善される必要がある。エッチング選択性の向上及びヤング率の改善を実現する上での主な課題の1つは、かかる膜の圧縮応力が高く、それによってもたらされるウエハバウ(wafer bow)が大きくなることにより、応用に適さなくなることである。ゆえに、高密度及び高弾性率を有し(例えばsp含有量がより多く、よりダイヤモンドに近く)、エッチング選択性が高く、かつ応力が低い(例えば<-500MPa)、炭素の(ダイヤモンド状の)膜が必要とされている。
本書に記載の実行形態は、高密度(例えば>1.8g/cc)、高弾性率(例えば>150GPa)、及び低応力(例えば<-500MPa)を有する炭素膜を製造する、改良型の方法を含む。本書に記載の実行形態により製造される炭素膜は、元来不定形であり、既存のパターンニング膜よりも、高いエッチング選択性を有し、弾性率(例えば>150GPa)が大幅に高くなると共に、応力(<-500MPa)は低くなっている。本書に記載の実行形態により製造される炭素膜は、応力が低いだけでなく、sp炭素含有量が多くなっている。本書に記載の堆積プロセスは、通常、ハードマスク応用向けの既存の集積化スキームに完全に適応可能でもある。
一部の実行形態では、本書に記載のダイヤモンド状炭素膜は、炭化水素を含有する混合ガスを使用する化学気相堆積(プラズマ化学気相堆積及び/又は熱化学気相堆積)プロセスによって形成されうる。この混合ガスは、C、C、CH、C、1,3-ジメチルアダマンタン、ビシクロ[2.2.1]へプタ-2,5-ジエン(2,5-ノルボルナジエン)、アダマンタン(C1016)、ノルボルネン(C10)、又はこれらの組み合わせなどであるがそれらに限定されるわけではない、前駆体を含む。堆積プロセスは、摂氏-50度~摂氏600度の範囲内の温度で実施されうる。堆積プロセスは、処理空間内で、0.1mTorr~10Torrの範囲内の圧力で実施されうる。炭化水素を含有する混合ガスは、He、Ar、Xe、N、Hのうちのいずれか1つ、又はHe、Ar、Xe、N、Hのいずれかの組み合わせを、更に含みうる。炭化水素を含有する混合ガスは、膜品質を向上させるために、Cl、CF、及び/又はNFといったエッチャントガス(etchantgas)を更に含みうる。上下の電極と側部の電極のどちらかから、プラズマ(例えば容量結合プラズマ)が形成されうる。これらの電極は、単一の電力供給電極から、二重の(dual)電力供給電極から、又は複数の周波数(350KHz、2MHz、13.56MHz、27MHz、40MHz、60MHz、及び100MHzなどであるが、これらに限定されるわけではない)を伴うもっと多い数の電極から、形成されてよく、ハードマスク及び/若しくはエッチング停止として使用されるダイヤモンド状炭素の薄膜、又は他の何らかの応用に必要な平滑炭素膜を堆積させるために、本書で列挙されているあらゆる反応ガスと共に、CVDシステムにおいて交互に又は同時に使用される。ダイヤモンド状炭素膜の高いエッチング選択性は、既存の生成膜よりも高い密度及び弾性率を有することによって実現される。理論に縛られるわけではないが、密度及び弾性率の向上は、膜中のsp混成炭素原子の含有量の増大によりもたらされると考えられており、この含有量の増大は、低い圧力とプラズマ出力とを組み合わせることによって実現されうる。
一部の実行形態では、RPSを通じて水素ラジカルが供給され、これが、sp混成炭素原子の選択的エッチングにつながり、ひいては膜のsp混成炭素原子の分画(fraction)を更に増大させ、ゆえに、エッチング選択性を更に高める。
一部の実行形態では、ダイヤモンド状炭素膜は、摂氏10度に維持された基板ペデスタルを有するチャンバであって、圧力が2mTorrに維持され、静電チャックに2500ワット(13.56MHz)のバイアスを印加することによりウエハの水平面において生成されたプラズマを有する、チャンバ内で堆積された。一部の実行形態では、静電チャックには2MHzで1000ワットの更なるRFも供給され、ゆえに、ウエハの水平面において二重バイアスプラズマが生成された。
一部の実行形態では、EUVリソグラフィプロセスの向けの下層として使用される膜は、本書に記載の任意の膜でありうる。
一部の実行形態では、EUVリソグラフィプロセスの向けの下層として使用される膜は、sp混成炭素原子の含有量が、膜中の炭素原子の総量に基づいて40%~90%であり、密度が1.8g/cc~2.5g/ccであり、かつ弾性率が150GPa~400GPaである。
一部の実行形態では、EUVリソグラフィプロセスの向けの下層として使用される膜は、2.0g/cc~2.2g/ccの密度と、約180GPa~約200GPaの弾性率とを有する。一部の実行形態では、膜は、約2.1g/ccの密度と、約195GPaの弾性率とを有する。
一部の実行形態では、EUVリソグラフィプロセスの向けの下層として使用される膜は、-600MPaの応力と、2.0~3.0の屈折率と、0.2~0.3の吸光係数とを有する。
図1Aは、本書に記載の実行形態による、ダイヤモンド状炭素層の堆積を実施するために使用されうる基板処理システム132の概略図を示している。基板処理システム132は、ガスパネル130及びコントローラ110に連結されたプロセスチャンバ100を含む。プロセスチャンバ100は、概括的には、処理空間126を画定する上部壁124、側壁101、及び底部壁122を含む。基板支持アセンブリ146が、プロセスチャンバ100の処理空間126内に設けられる。基板支持アセンブリ146は、概括的には、ステム160によって支持されている静電チャック150を含む。静電チャック150は、典型的には、アルミニウム、セラミック、及びその他の好適な材料から製造されうる。静電チャック150は、変位機構(図示せず)を使用して、プロセスチャンバ100の内部で垂直方向に動かされうる。
真空ポンプ102が、プロセスチャンバ100の底部に形成されたポートに連結される。真空ポンプ102は、プロセスチャンバ100内の望ましいガス圧を維持するために使用される。真空ポンプ102は更に、プロセスチャンバ100から、後処理ガス及びプロセスの副生成物を排気する。
基板処理システム132は、チャンバ圧力を制御するためにプロセスチャンバ100と真空ポンプ102との間に位置付けられた、チャンバ圧力を制御するための更なる器材(例えば、スロットルバルブ及び分離バルブといったバルブ)を、更に含みうる。
複数の開孔128を有するガス分配アセンブリ120が、プロセスチャンバ100の上部の、静電チャック150の上方に配置される。ガス分配アセンブリ120の開孔128は、プロセスチャンバ100内にプロセスガスを導入するために利用される。開孔128は、種々のプロセス要件のための様々なプロセスガスの流れを促進するよう、種々のサイズ、数、分布、形状、設計、及び直径を有しうる。ガス分配アセンブリ120はガスパネル130に接続され、ガスパネル130は、処理中に様々なガスを処理空間126に供給することを可能にする。基板190の表面191上への材料の堆積をもたらすプロセスガスの熱分解を強化するために、ガス分配アセンブリ120から出るプロセス混合ガスからプラズマが形成される。
ガス分配アセンブリ120と静電チャック150とは、処理空間126内で離間した電極の対を形成しうる。ガス分配アセンブリ120と静電チャック150との間でプラズマの生成を促進するために、一又は複数のRF電源140が、整合ネットワーク138を通じて(これはオプションである)、ガス分配アセンブリ120にバイアス電位を提供する。あるいは、RF電源140及び整合ネットワーク138は、ガス分配アセンブリ120に、静電チャック150に、若しくはその両方に連結されうるか、又は、プロセスチャンバ100の外部に配置されたアンテナ(図示せず)に連結されうる。一部の実行形態では、RF電源140は、350KHz、2MHz、13.56MHz、27MHz、40MHz、60Mhz、又は100MHzの周波数で、電力を発生させうる。一実行形態では、RF電源140は、約50kHz~約13.6MHzの周波数で、約100ワット~約3000ワットを提供しうる。別の実行形態では、RF電源140は、約50kHz~約13.6MHzの周波数で、約500ワット~約1800ワットを提供しうる。
コントローラ110は、中央処理装置(CPU)112と、メモリ116と、プロセスシーケンスを制御し、かつガスパネル130からのガス流を調節するために利用されるサポート回路114とを含む。CPU112は、産業用設定で使用されうる任意の形態の汎用コンピュータプロセッサでありうる。ソフトウェアルーチンが、メモリ116(ランダムアクセスメモリ、読出専用メモリ、フロッピー、若しくはハードディスクドライブ、又はその他の形態のデジタルストレージなど)に記憶されうる。サポート回路114は、従来的にはCPU112に接続されており、キャッシュ、クロック回路、入/出力システム、電力供給装置などを含みうる。コントローラ110と基板処理システム132の様々な構成要素との間の双方向通信は、多数の信号ケーブル(信号バス118と総称され、その一部が図1に示されている)を通じて処理される。
図1Bは、本書に記載の実行形態を実践する上で使用されうる別の基板処理積システム180の概略断面図を示している。基板処理システム180は、ガスパネル130から、側壁101を経て基板190の表面191の端から端まで処理ガスを流すよう構成されていることを除けば、図1Aの基板処理システム132に類似している。加えて、図1Aに示しているガス分配アセンブリ120が、電極182で置換されている。電極182は、二次的な電荷生成装置として構成されうる。一実行形態では、電極182は、ケイ素含有電極である。
図2は、本書に記載の実行形態を実践する上で使用されうる図1A及び図1Bの処理システムにおいて使用されうる、基板支持アセンブリ146の概略断面図を示している。図2を参照するに、静電チャック150は、静電チャック150の上側面192の上に支持されている基板190の温度を制御するのに適した、ヒータ素子170を含みうる。ヒータ素子170は、静電チャック150に埋設されうる。静電チャック150は、ヒータ電源106からの電流がヒータ素子170に印加されることによって、抵抗加熱されうる。ヒータ電源106は、RFフィルタ216を通じて連結されうる。RFフィルタ216は、ヒータ電源106をRFエネルギーから保護するために使用されうる。ヒータ素子170は、ニッケル-クロム合金(例えばINCOLOY(登録商標))のシースチューブ内に封入されたニッケル-クロムワイヤで作製されうる。ヒータ素子170により生成された熱を制御し、ひいては基板190及び静電チャック150を膜堆積中に実質的に一定した温度に維持するよう、ヒータ電源106から供給される電流はコントローラ110によって調整される。供給される電流は、静電チャック150の温度を摂氏約-50度~摂氏約600度に選択的に制御するよう、調節されうる。
図1を参照するに、従来的な様態では、静電チャック150の温度をモニタするために、静電チャック150に温度センサ172(熱電対など)が埋設されうる。測定された温度は、ヒータ素子170に供給される電力を制御して、基板を望ましい温度に維持するために、コントローラ110によって使用される。
静電チャック150はチャック電極210を含み、チャック電極210は導電性材料のメッシュでありうる。チャック電極210は、静電チャック150に埋設されうる。チャック電極210はチャック電源212に連結されており、チャック電源212は、通電されると、基板190を静電チャック150の上側面192に静電クランプする。
チャック電極210は、単極若しくは双極の電極として構成されうるか、又は別の好適な構成を有しうる。チャック電極210はRFフィルタ214を通じてチャック電源212に連結されてよく、チャック電源212は、基板190を静電チャック150の上側面192に静電固定するための直流(DC)電力を提供する。RFフィルタ214は、プロセスチャンバ100の中でプラズマを形成するために利用されるRF電力が、チャンバ外の電気機器を損傷すること又はチャンバ外電気的障害を引き起こすことを防止する。静電チャック150は、セラミック材料(AlN又はAlなど)から製造されうる。あるいは、静電チャック150は、ポリイミド、ポリエーテルエーテルケトン(PEEK)、ポリアリールエーテルケトン(PAEK)などといったポリマーから製造されうる。
電力印加システム220が、基板支持アセンブリ146に連結される。電力印加システム220は、ヒータ電源106、チャック電源212、第1高周波(RF)電源230、及び第2RF電源240を含みうる。加えて、電力印加システム220の実行形態は、コントローラ110、コントローラ110と通信可能なセンサデバイス250、及び第1RF電源230と第2RF電源240の両方を、含みうる。
コントローラ110は更に、基板190に材料の層を堆積させるように、第1RF電源230と第2RF電源240からのRF電力を印加することにより処理ガスからのプラズマを制御するために、利用されうる。
上述したように、静電チャック150は、一態様では基板190をチャックするよう機能すると共に第1RF電極としても機能しうる、チャック電極210を含む。静電チャック150は、第2RF電極260も含んでよく、チャック電極210と共に、プラズマをチューニングするためにRF電力を印加しうる。第1RF電源230が第2RF電極260に連結されうる一方、第2RF電源240は、チャック電極210に連結されうる。第1RF電源230と第2RF電源240のそれぞれのために、第1整合ネットワークと第2整合ネットワークが設けられうる。第2RF電極260は、図示しているような導電性材料の固体金属プレートでありうる。あるいは、第2RF電極260は導電性材料のメッシュであることもある。
第1RF電源230と第2RF電源240とは、同じ周波数で又は異なる周波数で、電力を発生させうる。一部の実行形態では、第1RF電源230と第2RF電源240の一方又は両方が、約350KHz~約100MHz(例えば350KHz、2MHz、13.56MHz、27MHz、40MHz、60Mhz、又は100MHz)の周波数で、個別に電力を発生させうる。一部の実行形態では、第1RF電源230は13.56MHzの周波数で電力を発生させてよく、第2RF電源240は2MHzの周波数で電力を発生させうる(又はその逆)。第1RF電源230と第2RF電源240の一方又は両方からのRF電力は、プラズマをチューニングするために変更されうる。例えば、センサデバイス250が、第1RF電源230と第2RF電源240の一方又は両方からのRFエネルギーをモニタするために使用されうる。センサデバイス250からのデータはコントローラ110に通信されてよく、コントローラ110は、第1RF電源230及び第2RF電源240によって印加される電力を変更するために利用されうる。
堆積時の(as-deposited)ダイヤモンド状炭素におけるsp混成炭素原子の質量/パーセンテージは、応用ごとに変動しうる。本開示の様々な実行形態において、堆積時のダイヤモンド状炭素膜は、少なくとも40、45、50、55、60、65、70、75、80、又は85パーセントのsp混成炭素原子を含有しうる。堆積時のダイヤモンド状炭素膜は、最大で45、50、55、60、65、70、75、80、85、又は90パーセントのsp混成炭素原子を含有しうる。堆積時のダイヤモンド状炭素膜は、約50~約90パーセントのsp混成炭素原子を含有しうる。堆積時のダイヤモンド状炭素膜は、約60~約70パーセントのsp混成炭素原子を含有しうる。
かかる堆積時のダイヤモンド状炭素層を形成するために、通常、以下の例示的な堆積プロセスパラメータが使用されうる。ウエハ温度は約-50°C~約350°C(例えば約10°C~約100°C、又は約10°C~約50°C)の範囲内でありうる。チャンバ圧力は、約0.5mTorr~約10Torr(例えば約2mTorr~約50mTorr、又は約2mTorr~約10mTorr)というチャンバ圧力の範囲内でありうる。炭化水素を含有する混合ガスの流量は、約10sccm~約1,000sccm(例えば約100sccm~約200sccm、又は約150sccm~約200sccm)でありうる。希釈ガスの流量は、個別に、約50sccm~約50,000sccm(例えば約50sccm~約500sccm、又は約50sccm~約100sccm)の範囲内でありうる。
Figure 0007235683000001
ダイヤモンド状炭素層は、約5Å~約20,000Å(例えば約300Å~約5000Å、約2000Å~約3000Å、又は約5Å~約200Å)の厚さに堆積されうる。上記の表1に示しているプロセスパラメータは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能な堆積チャンバにおける、300mm基板向けのプロセスパラメータの例を提供するものである。
堆積時のダイヤモンド状炭素膜は、2.0を上回る(例えばおよそ2.0~およそ3.0の、例としては2.3の)屈折率又はn値(633nmにおけるn)を有しうる。堆積時のダイヤモンド状炭素膜は、0.1を上回る(例えばおよそ0.2~およそ0.3の、例としては0.25の)吸光係数又はk値(633nmにおけるk)を有しうる。堆積時のダイヤモンド状炭素膜は、応力(MPa)であって、約-100MPaを下回る(例えばおよそ-1000MPa~およそ-100MPa、およそ-600MPa~およそ-300MPa、およそ-600MPa~およそ-500MPaの、例としてはおよそ-550MPaの)応力を有しうる。堆積時のダイヤモンド状炭素膜は、密度(g/cc)であって、1.8g/ccを上回る(例えばおよそ2.0g/cc以上、およそ2.5g/cc以上の、例としては約1.8g/cc~約2.5g/ccの)密度を有しうる。堆積時のダイヤモンド状炭素膜は、弾性率(GPa)であって、150GPaを上回る(例えば約200~約400GPaの)弾性率を有しうる。
図3は、本開示の一実行形態による、基板上に配置された膜積層体の上にダイヤモンド状炭素膜を形成するための方法300のフロー図を示す。膜積層体上に形成されたダイヤモンド状炭素層は、例えば、膜積層体で階段状構造物を形成するためのハードマスクとして利用されうる。図4A-4Bは、方法300により基板上に配置された膜積層体の上にダイヤモンド状炭素層を形成するためのシーケンスを示す、概略断面図である。三次元半導体デバイス向けに膜積層体で階段状構造物を製造するために利用される膜積層体の上に形成されうるハードマスク層に関連して、方法300について後述しているが、方法300は、他のデバイス製造応用においても、有利に使用されうる。更に、図3に示している工程は、同時にかつ/又は図3に示しているのとは異なる順序で実施されうることも、理解すべきである。
方法300は、工程310において、基板(図4Aに示している基板400など)を、プロセスチャンバ(図1A又は図1Bに示しているプロセスチャンバ100など)の中に位置付けることにより始まる。基板400は、図1A、図1B、及び図2に示している基板190でありうる。基板400は、静電チャック(例えば静電チャック150の上側面192)の上に位置付けられうる。基板400は、必要に応じて、ケイ素ベースの材料又は任意の好適な絶縁材料若しくは導電性材料であってよく、基板400上には膜積層体404が配置されており、膜積層体404は、膜積層体404で構造物402(階段状構造物など)を形成するために利用されうる。
図4Aに示している例示的な実行形態に図示しているように、基板400は、実質的に平らな表面、平らではない表面、又は上に構造物が形成された実質的に平らな表面を有しうる。膜積層体404は基板400上に形成される。一実行形態では、膜積層体404は、フロントエンドプロセス又はバックエンドプロセスにおいてゲート構造、接触構造、又は相互接続構造を形成するために、利用されうる。方法300は、膜積層体404でメモリ構造(NAND構造など)に使用される階段状構造物を形成するために、膜積層体404に対して実施されうる。一実行形態では、基板400は、結晶シリコン(例えばSi<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコン基板とパターニングされた又はパターニングされていない基板のシリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアといった、材料でありうる。基板400は、様々な寸法(例えば200mm、300mm、及び450mm)又はその他の直径を有しうると共に、長方形又は正方形のパネルでもありうる。別途記載されない限り、本書に記載の実行形態及び例は、200mm直径、300mm直径、又は450mm直径を有する基板上で実行される。基板400でSOI構造が利用される実行形態では、基板400は、ケイ素結晶性基板に配置された埋め込み型誘電体層を含みうる。本書で示しているこの実行形態では、基板400は結晶シリコン基板でありうる。
一実行形態では、基板400上に配置された膜積層体404は、垂直方向に重なったいくつかの層を有しうる。膜積層体404は、膜積層体404内に反復的に形成されている、第1層(408a、408a、408a、・・・・・・、408aとして図示している)と第2層(408b、408b、408b、・・・・・・、408bとして図示している)とを含む複数の対を備えうる。かかる対は、第1層(408a、408a、408a、・・・・・・、408aとして図示している)と第2層(408b、408b、408b、・・・・・・、408bとして図示している)との対が望ましい数に到達するまで反復的に形成された、交互になった第1層と第2層を含む。
膜積層体404は、半導体チップ(三次元メモリチップなど)の一部になりうる。図4A-4Bには、第1層(408a1、408a2、408a3、・・・・・・、408anとして図示している)と第2層(408b1、408b2、408b3、・・・・・・、408bnとして図示している)の3つの反復層が図示されているが、第1層と第2層との任意の望ましい数の反復対が、必要に応じて利用されうることが分かる。
一実行形態では、膜積層体404は、三次元メモリチップ向けの複数のゲート構造を形成するために利用されうる。膜積層体404内に形成された第1層408a、408a、408a、・・・・・・、408aは第1誘電体層であってよく、第2層408b、408b、408b、・・・・、408bは第2誘電体層でありうる。好適な誘電体層が、第1層408a、408a、408a、・・・・・・、408a及び第2層408b、408b、408b、・・・・・・、408bを形成するために利用されてよく、かかる好適な誘電体層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、酸炭化ケイ素、窒化チタン、酸化物と窒化物との複合物、窒化物層を挟持している少なくとも一又は複数の酸化物層、及びこれらの組み合わせを、(他にもあるが)含みうる。一部の実行形態では、誘電体層は、4を上回る誘電率を有する、高誘電率材料でありうる高誘電率材料の好適な例は、二酸化ハフニウム(HfO)、二酸化ジルコニウム(ZrO)、酸化ハフニウムケイ素(HfSiO)、酸化ハフニウムアルミニウム(HfAlO)、酸化ジルコニウムケイ素(ZrSiO)、二酸化タンタル((TaO)、酸化アルミニウム、アルミニウムでドープされた二酸化ハフニウム、ビスマスストロンチウムチタン(BST)、及びプラチナジルコニウムチタン(PZT)を、(他にもあるが)含む。
一具体例では、第1層408a、408a、408a、・・・・・・、408aは酸化ケイ素層であり、第2層408b、408b、408b、・・・・・・、408bは、第1層408a、408a、408a、・・・・・・、408aの上に配置された窒化ケイ素層又はポリシリコン層である。一実行形態では、第1層408a、408a、408a、・・・・・・、408aの厚さは約50Å~約1000Å(例えば約500Å)に制御されてよく、第2層408b、408b、408b、・・・・・・、408bの各々の厚さは約50Å~約1000Å(例えば約500Å)に制御されうる。膜積層体404は、約100Å~約2000Åの総厚を有しうる。一実行形態では、膜積層体404の総厚は約3ミクロン~約10ミクロンであるが、これは技術の進歩と共に変動することになる。
ダイヤモンド状炭素層は、基板400上に膜積層体404が存在している又は存在していない、基板400の任意の表面又は任意の部分の上に形成されうることが分かる。
工程320において、基板400を静電チャックにクランプするために、静電チャックにチャック電圧が印加される。基板400が静電チャック150の上側面192上に位置付けられる一部の実行形態では、処理中に、上側面192が基板400に支持を提供し、基板400をクランプする。静電チャック150は、基板400を上側面192に密着させ、裏側堆積を防止する。チャック電極210を介して、基板400に電気バイアスが提供される。チャック電極210は、チャック電極210にバイアス電圧を供給するチャック電源212と、電気的に通信可能でありうる。一実行形態では、チャック電圧は約10ボルト~約3000ボルトである。一実行形態では、チャック電圧は約100ボルト~約2000ボルトである。一実行形態では、チャック電圧は約200ボルト~約1000ボルトである。
工程320において、いくつかのプロセスパラメータがプロセスに合わせて調節されうる。300mm基板の処理に適した一実行形態では、処理空間内のプロセス圧力は、約0.1mTorr~約10Torr(例えば約2mTorr~約50mTorr、又は約5mTorr~約20mTorr)に維持されうる。300mm基板の処理に適した一実行形態では、処理温度及び/又は基板温度は、摂氏約-50度~摂氏約350度(例えば摂氏約0度~摂氏約50度、又は摂氏約10度~摂氏約20度)に維持されうる。
一実行形態では、一定したチャック電圧が基板400に印加される。一実行形態では、静電チャック150に対するチャック電圧はパルス化されうる。一部の実行形態では、基板の温度を制御するために、チャック電圧が印加されている時に裏側ガスが基板400に適用されうる。裏側ガスは、ヘリウム(He)、アルゴン(Ar)などを含みうるが、これらに限定されるわけではない。
工程330において、静電チャックに第1RFバイアスを印加することにより、基板の水平面においてプラズマが生成される。基板の水平面において生成されるプラズマは基板と静電チャックとの間のプラズマ領域内で生成されうる。第1RFバイアスは、約350KHz~約100MHz(例えば350KHz、2MHz、13.56MHz、27MHz、40MHz、60Mhz、又は100MHz)の周波数の、約10ワット~約3000ワットのものでありうる。一実行形態では、第1RFバイアスは、約13.56MHzの周波数で、約2500ワット~約3000ワットの電力で提供される。一実行形態では、第1RFバイアスは、第2RF電極260を介して静電チャック150に提供される。第2RF電極260は、第2RF電極260にバイアス電圧を供給する第1RF電源230と、電気的に通信可能でありうる。一実行形態では、バイアス電力は約10ワット~約3000ワットである。一実行形態では、バイアス電力は約2000ワット~約3000ワットである。一実行形態では、バイアス電力は約2500ワット~約3000ワットである。第1RF電源230は、約350KHz~約100MHz(例えば350KHz、2MHz、13.56MHz、27MHz、40MHz、60Mhz、又は100MHz)の周波数で、電力を発生させうる。
一部の実行形態では、工程330は、静電チャックに第2RFバイアスを印加することを更に含む。第2RFバイアスは、約350KHz~約100MHz(例えば350KHz、2MHz、13.56MHz、27MHz、40MHz、60Mhz、又は100MHz)の周波数の、約10ワット~約3000ワットのものでありうる。一実行形態では、第2RFバイアスは、約2MHzの周波数で、約800ワット~約1200ワットの電力で提供される。一実行形態では、第2RFバイアスは、チャック電極210を介して基板400に提供される。チャック電極210は、チャック電極210にバイアス電圧を供給する第2RF電源240と、電気的に通信可能でありうる。一実行形態では、バイアス電力は約10ワット~約3000ワットである。一実行形態では、バイアス電力は約500ワット~約1500ワットである。一実行形態では、バイアス電力は約800ワット~約1200ワットである。第2RF電源240は、約350KHz~約100MHz(例えば350KHz、2MHz、13.56MHz、27MHz、40MHz、60Mhz、又は100MHz)の周波数で、電力を発生させうる。一実行形態では、工程320で供給されるチャック電圧が、工程330においても維持される。
一部の実行形態では、工程330において、第1RFバイアスはチャック電極210を介して基板400に提供されており、第2RFバイアスは第2RF電極260を介して基板400に提供されうる。一実行形態では、第1RFバイアスは約2500ワット(13.56MHz)であり、第2RFバイアスは約1000ワット(2MHz)である。
工程340において、膜積層体上にダイヤモンド状炭素膜を形成するために、炭化水素を含有する混合ガスが処理空間126内に流し込まれる。炭化水素を含有する混合ガスは、ガスパネル130から、ガス分配アセンブリ120を通じて或いは側壁101を介して、処理空間126内に流し込まれうる。炭化水素を含有する混合ガスは、少なくとも1つの炭化水素化合物を含みうる。炭化水素を含有する混合ガスは、不活性ガス、希釈ガス、窒素含有ガス、エッチャントガス、又はこれらの組み合わせを、更に含みうる。炭化水素は任意の液体又はガスでありうるが、材料の計量、制御、及びチャンバへの供給に必要なハードウェアを簡略化するのであれば、好ましい前駆体は室温の蒸気である。一部の実行形態では、工程320において供給されるチャック電圧が、工程340においても維持される。一部の実行形態では、工程320において確立されるプロセス条件、及び工程330において形成されるプラズマが、工程340においても維持される。
一実行形態では、炭化水素化合物はガス状炭化水素である。一実行形態では、炭化水素化合物は、Cという一般式を有し、ここで、xは1~20の範囲を有し、かつyは1~20の範囲を有する。好適な炭化水素化合物は、例えば、C、C、CH、C、1,3-ジメチルアダマンタン、ビシクロ[2.2.1]へプタ-2,5-ジエン(2,5-ノルボルナジエン)、アダマンタン(C1016)、ノルボルネン(C10)、又はこれらの組み合わせを含む。一例では、Cが好ましい。Cは、表面移動度の向上を可能にする、より好適な中間種を形成するからである。
一実行形態では、炭化水素化合物はアルカンである(例えばC2n+2であり、ここでnは1~20である)。好適な炭化水素化合物は、例えば、メタン(CH)、エタン(C)、プロピレン(C)、プロパン(C)、ブタン(C10)及びその異性体のイソブタン、ペンタン(C12)、ヘキサン(C14)とその異性体のイソペンタン及びネオペンタン、ヘキサン(C14)とその異性体の2-メチルペンタン、3-メチルペンタン、2,3-ジメチルブタン、及び2,2-ジメチルブタン、又はこれらの組み合わせといった、アルカン類を含む。
一実行形態では、炭化水素化合物はアルケンである(例えばC2nであり、ここでnは1~20である)。好適な炭化水素化合物は、例えば、アセチレン、エチレン、プロピレン、ブチレンとその異性体、ペンテンとその異性体などといったアルケン類、ブタジエン、イソプレン、ペンタジエン、ヘキサジエンといったジエン類、又はこれらの組み合わせを含む。更なる好適な炭化水素類は、例えば、モノフルオロエチレン、ジフルオロエチレン類、トリフルオロエチレン、テトラフルオロエチレン、モノクロロエチレン、ジクロロエチレン類、トリクロロエチレン、テトラクロロエチレン、又はこれらの組み合わせといった、ハロゲン化されたアルケン類を含む。
一実行形態では、炭化水素化合物はアルキンである(例えばC2n-2であり、ここでnは1~20である)。好適な炭化水素化合物は、例えば、プロピン(C)、ブチレン(C)、ビニルアセチレン、又はこれらの組み合わせといった、アルキン類を含む。
一実行形態では、炭化水素化合物は、芳香族炭化水素化合物(ベンゼン、スチレン、トルエン、キシレン、エチルベンゼン、アセトフェノン、メチルベンゾエート、フェニルアセテート、フェノール、クレゾール、フランなど)、アルファ―テルピネン、シメン、1,1,3,3,-テトラメチルブチルベンゼン、t-ブチルエーテル、t-ブチルエチレン、メチル-メタクリレート、並びにt-ブチルフルフリルエーテル、C及びCという式を有する化合物、ハロゲン化された芳香族化合物(モノフルオロベンゼン、ジフルオロベンゼン類、テトラフルオロベンゼン類、ヘキサフルオロベンゼンを含む)、又はこれらの組み合わせである。
一部の実行形態では、炭化水素を含有する混合ガスは、一又は複数の希釈ガスを更に含む。ヘリウム(He)、アルゴン(Ar)、キセノン(Xe)、水素(H)、窒素(N)、アンモニア(NH)、又はこれらの組み合わせといった(他にもあるが)好適な希釈ガスが、所望に応じて、混合ガスに添加されうる。Ar、He、及びNは、ダイヤモンド状炭素層の密度及び堆積速度を制御するために使用される。場合によっては、N及び/又はNHの添加は、後述するように、ダイヤモンド状炭素層中の水素比率を制御するために使用されうる。あるいは、堆積中に希釈ガスが使用されないこともある。
一部の実行形態では、炭化水素を含有する混合ガスは、一又は複数の窒素含有ガスを更に含む。好適な窒素含有化合物は、例えば、ピリジン、脂肪族アミン、アミン類、ニトリル類、アンモニア、及び類似の化合物を含む。
一部の実行形態では、炭化水素を含有する混合ガスは、不活性ガスを更に含む。一部の実行形態では、炭化水素を含有する混合ガスと共に、アルゴン(Ar)及び/又はヘリウム(He)といった不活性ガスが、処理空間126内に供給されうる。ダイヤモンド状炭素層の密度及び堆積速度を制御するために、その他の不活性ガス(窒素(N)及び一酸化窒素(NO)など)も使用されうる。加えて、ダイヤモンド状炭素材料の特性を改変するために、炭化水素を含有する混合ガスには、多種多様なその他の処理ガスが添加されうる。一実行形態では、その他の処理ガスとは、水素(H)、アンモニア(NH)、水素と(H)窒素(N)との混合物、又はこれらの組み合わせといった、反応性ガスでありうる。H及び/又はNHの添加は、ダイヤモンド状炭素層の水素比率(例えば炭素と水素との比率)を制御するために使用されうる。ダイヤモンド状炭素膜中に存在する水素の比率により、層特性(反射率など)が制御される。
一部の実行形態では、炭化水素を含有する混合ガスは、エッチャントガスを更に含む。好適なエッチャントガスは、塩素(Cl)、四フッ化炭素(CF)、三フッ化窒素(NF)、又はこれらの組み合わせを含む。理論に縛られるわけではないが、エッチャントガスは、膜からsp混成炭素原子を選択的のエッチングし、ひいては膜中のsp混成炭素原子の分画を増大させ、これにより、膜のエッチング選択性が高まると考えられている。
一部の実行形態では、ダイヤモンド状炭素層412は、工程340において基板上に形成された後に、水素ラジカルに曝露される。一部の実行形態では、ダイヤモンド状炭素層は、工程340の堆積プロセス中に、水素ラジカルに曝露される。一部の実行形態では、水素ラジカルは、RPSで形成され、処理領域に供給される。理論に縛られるわけではないが、ダイヤモンド状炭素層を水素ラジカルに曝露することは、sp混成炭素原子を選択的エッチング、ひいては膜のsp混成炭素原子の分画の増大につながり、ゆえに、エッチング選択性を高めると考えられている。
基板上にダイヤモンド状炭素層412が形成された後、工程350において、基板がチャック解除される。工程350において、チャック電圧はオフにされる。反応性ガスもオフにされ、オプションで、処理チャンバからパージされる。一実行形態では、工程350において、RF電力が(例えば200Wまで)低減される。オプションで、コントローラ110がインピーダンスの変化をモニタして、静電荷がRF経路を通って接地に散逸したかどうかを判断する。基板が静電チャックからチャック解除されると、残っているガスは処理チャンバからパージされる。処理チャンバはポンプダウンされ、基板は、リフトピン上で上昇し、チャンバの外に移送される。
ダイヤモンド状炭素層412は、基板上に形成された後に、エッチングプロセスにおいて、三次元構造物(階段状構造物など)を形成するためのパターニングマスクとして利用されうる。ダイヤモンド状炭素層412は、標準的なフォトレジストパターニング技法を使用してパターニングされうる。ダイヤモンド状炭素層412の上に、パターニングされたフォトレジスト(図示せず)が形成されうる。ダイヤモンド状炭素層412は、パターニングされたフォトレジスト層と一致するパターンにエッチングされてよく、その後、基板400にこのパターンがエッチングされる。ダイヤモンド状炭素層412のエッチングされた部分の中に、材料が堆積されうる。ダイヤモンド状炭素層412は、過酸化水素と硫酸を含む溶液を使用して除去されうる。過酸化水素と硫酸を含む例示的な一溶液は、ピラニア溶液又はピラニア腐食液として既知である。ダイヤモンド状炭素層412は、酸素とハロゲン(例えばフッ素又は塩素)を含有するエッチング化学物質(例えばCl/O、CF/O、Cl/O/CF)を使用して除去されることもある。ダイヤモンド状炭素層412は、化学機械研磨(CMP)プロセスによっても除去されうる。
例:
以下の非限定的な例は、本書に記載の実行形態を更に例示するために提供されている。しかし、これらの例は、本書に記載の実行形態の全てを網羅することを意図しておらず、その範囲を限定するためのものでもない。
一実行形態では、希釈ガスとしてAr及び/又はHeを有するCVDリアクタ内で、基板ペデスタル(静電チャック)を通じて2500ワットのRF(13.56MHz)電力と1000ワット(2MHz)とを印加しつつ、摂氏10度の温度で、プロセスガスとしてCを150sccmで、かつHeを100sccmで流すことによって、本開示の低応力高密度のダイヤモンド状炭素膜が製造された。結果として得られたダイヤモンド状炭素膜は、1.94g/ccの密度と、-350MPaの応力と、現在入手可能なアモルファスカーボン膜を上回るエッチング選択性とを有していた。
Figure 0007235683000002
図5は、本開示の一又は複数の実行形態により形成されたダイヤモンド状炭素層について、バイアス電力の関数としての密度を示しているグラフ500である。グラフ500は、2MHzの周波数及び13.56MHzの周波数で堆積されたダイヤモンド状炭素膜について、バイアス電力の関数としての密度を示している。y軸は堆積された膜の密度(g/cc)を表わしており、x軸はバイアス電力(ワット)を表わしている。図5に示しているように、ほとんどの部分について、バイアス電力が増大するにつれて、堆積時の膜の密度も高まる。
図6は、本開示の一又は複数の実行形態により形成されたダイヤモンド状炭素層について、バイアス電力の関数としての応力を示しているグラフ600である。グラフ600は、2MHzの周波数及び13.56MHzの周波数で堆積されたダイヤモンド状炭素膜について、バイアス電力の関数としての応力を示している。y軸は堆積された膜の応力(MPa)を表わしており、x軸はバイアス電力(ワット)を表わしている。図6に示しているように、ほとんどの部分について、バイアス電力が増大するにつれて、堆積時の膜の応力も増大する。
図7は、本開示の一又は複数の実行形態により形成されたダイヤモンド状炭素層について、圧力の関数としての密度及び応力を示しているグラフ700である。y軸は堆積された膜の密度(g/cc)及び応力(MPa)を表わしており、x軸はプロセス圧力(mTorr)を表わしている。図7に示しているように、圧力が低くなると密度は若干高く、応力は小さくなり、圧力が大きくなると圧縮応力は大きくなる。
極紫外(EUV)パターニング方式
極紫外(EUV)パターンニング方式において金属含有フォトレジストを使用する場合、半導体デバイスにおける極小不具合(例えばブリッジ形成の欠陥及び間隔形成の欠陥)を防止するために、下層の選択が重要になる。EUVパターニング(リソグラフィ)方式向けの従来的な下層は、スピンオンカーボン(SOC)材料である。しかし、パターンニング中に、スズなどの金属は、例えばSOC材料を通って拡散し、半導体デバイスの極小不具合につながる。かかる極小不具合は、半導体性能を引き下げ、劣化させ、妨害するよう作用する。
その一方で、本書に記載の高密度炭素膜は、優れた膜品質を有する(例えば硬度及び密度が向上している)。かかる硬度及び密度により、高密度炭素膜が、従来型のSOC膜よりも大幅に、金属侵入に対するより強力なバリアとして作用すること、及び極小不具合を防ぐ(最低でも低減する)ことが、可能になる。
一部の実行形態では、極紫外(EUV)リソグラフィプロセスの向けの下層として使用される膜が提供される。一部の実行形態では、この膜(及び/又は堆積時の膜)は、
1)堆積時の膜中の炭素原子の総量に基づいて少なくとも40、45、50、55、60、65、70、75、80、又は85パーセントである、sp混成炭素原子の質量/パーセンテージ(すなわち、sp混成炭素原子の含有量)であって、上記の又はその他の実行形態では、堆積時の膜中の炭素原子の総量に基づいて最大で45、50、55、60、65、70、75、80、85、又は90パーセントとなり、上記の又はその他の実行形態では、堆積時の膜中の炭素原子の総量に基づいて約50~約90パーセント(例えば約60~約70パーセント)となる、sp混成炭素原子の質量/パーセンテージ、
2)約5Å~約20,000Å(例えば約300Å~約5000Å、例としては約2000Å~約3000Å)である厚さであって、あるいは約5Å~約200Åである、厚さ、
3)2.0を上回る(例えばおよそ2.0~およそ3.0の、例としては2.3の)屈折率又はn値(633nmにおけるn)、
4)0.1を上回る(例えばおよそ0.2~およそ0.3の、例としては0.25の)吸光係数又はk値(633nmにおけるk)、
5)応力(MPa)であって、約-300MPaを下回る(例えばおよそ-600MPa~およそ-300MPa、およそ-600MPa~およそ-500MPaの、例としてはおよそ-550MPaの)応力、
6)密度(g/cc)であって、1.8g/ccを上回る(例えばおよそ2.0g/cc以上、およそ2.5g/cc以上の、例としては約1.8g/cc~約2.5g/ccの)密度、
7)弾性率(GPa)であって、150GPaを上回る(例えば約200~約400GPa)の弾性率、という特性のうちの、一又は複数を有する。
一部の実行形態では、EUVリソグラフィプロセスの向けの下層として使用される膜は、本書に記載の任意の膜でありうる。
一部の実行形態では、EUVリソグラフィプロセスの向けの下層として使用される膜は、sp混成炭素原子の含有量が、膜中の炭素原子の総量に基づいて40%~90%であり、密度が1.8g/cc~2.5g/ccであり、かつ弾性率が150GPa~400GPaである。
一部の実行形態では、EUVリソグラフィプロセスの向けの下層として使用される膜は、2.0g/cc~2.2g/ccの密度と、約180GPa~約200GPaの弾性率とを有する。一部の実行形態では、膜は、約2.1g/ccの密度と、約195GPaの弾性率とを有する。
一部の実行形態では、EUVリソグラフィプロセスの向けの下層として使用される膜は、-600MPaの応力と、2.0~3.0の屈折率と、0.2~0.3の吸光係数とを有する。
ゆえに、半導体デバイスの三次元積層を製造するための階段状構造物の形成に使用されうるダイヤモンド状炭素のハードマスク層を形成するための、方法及び装置が提供される。望ましいほどに強固な膜特性とエッチング選択性を有するダイヤモンド状ハードマスク層を利用することによって、結果として得られる、膜積層体で形成される構造物の寸法及びプロファイル制御の向上が得られ、半導体デバイスの三次元積層向けの応用における、チップデバイスの電気的性能が強化されうる。
つまり、本開示の利点の一部により、基板上にダイヤモンド状ハードマスク膜を堆積させるためのプロセスがもたらされる。典型的なPECVDハードマスク膜は、混成sp原子の割合が非常に低く、ゆえに、弾性率及びエッチング選択性も低い。本書に記載の実行形態の一部では、低いプロセス圧力(mTorr vs. Torr)及び底部駆動(bottom driven)プラズマにより、およそ60%以上の混成sp原子を有する膜の製造が可能になり、このことは、以前から入手可能なハードマスク膜と比較して、エッチング選択性の改善をもたらす。加えて、本書に記載の実行形態の一部は低い基板温度で実施される。これにより、現在可能な温度よりもずっと低い温度で、その他の誘電体膜の堆積も可能になり、これまでCVDによって対処することができなかった、低い熱収支を伴う応用の可能性が開かれる。加えて、本書に記載の実行形態の一部は、EUVリソグラフィプロセス向けの下層として使用されうる。
本開示の要素又はそれらの例示的な態様若しくは実行形態(複数可)を紹介する場合、「1つの(a、an)」及び「前記(the、said)」という冠詞は、一又は複数のかかる要素が存在していることを意味するためのものである。
「備える(comprising)」、「含む(including)」、及び「有する(having)」という語は、包括的であることが意図されており、列挙された要素以外にも更なる要素が存在しうることを意味する。
以上の記述は本開示の実行形態を対象としているが、本開示の基本的な範囲から逸脱しなければ、本開示の他の実行形態及び更なる実行形態が考案されうる。本開示の範囲は、以下の特許請求の範囲によって決まる。

Claims (13)

  1. 基板を処理する方法であって、
    静電チャック上に基板が位置付けられている、プロセスチャンバの処理空間内に、炭化水素を含有する混合ガスを流入させることであって、前記基板が0.5mTorr~10Torrの圧力において維持される、混合ガスを流入させることと、
    前記基板上にダイヤモンド状炭素膜を堆積させるために、前記静電チャックに第1RFバイアスを印加することにより前記基板の水平面においてプラズマを生成することであって、前記ダイヤモンド状炭素膜が、1.8g/ccを上回る密度と、応力の絶対値が500よりも小さい応力であって、-500MPaを下回る応力とを有前記ダイヤモンド状炭素膜が、50パーセント~90パーセントのsp 混成炭素原子を含有し、前記基板が10℃~50℃の温度に維持される、プラズマを生成することとを含む、方法。
  2. 前記基板の水平面においてプラズマを生成することが、前記静電チャックに第2RFバイアスを印加することを更に含む、請求項1に記載の方法。
  3. 前記第1RFバイアスは、350KHz~100MHzの周波数で、10ワット~3000ワットの電力で提供される、請求項2に記載の方法。
  4. 前記第1RFバイアスは、13.56MHzの周波数で、2500ワット~3000ワットの電力で提供される、請求項3に記載の方法。
  5. 前記第2RFバイアスは、350KHz~100MHzの周波数で、10ワット~3000ワットの電力で提供される、請求項4に記載の方法。
  6. 前記第2RFバイアスは、2MHzの周波数で、800ワット~1200ワットの電力で提供される、請求項5に記載の方法。
  7. 前記静電チャック上に位置付けられた前記基板にチャック電圧を印加することを更に含む、請求項1に記載の方法。
  8. 前記ダイヤモンド状炭素膜が150GPaを上回る弾性率を有する、請求項1に記載の方法。
  9. 前記炭化水素を含有する混合ガスが、C、C、CH、C、1,3-ジメチルアダマンタン、ビシクロ[2.2.1]へプタ-2,5-ジエン(2,5-ノルボルナジエン)、アダマンタン(C1016)、ノルボルネン(C10)、及びこれらの組み合わせ、からなる群から選択された炭化水素前駆体を含む、請求項1に記載の方法。
  10. 前記炭化水素を含有する混合ガスが、He、Ar、Xe、N、H、及びこれらの組み合わせ、からなる群から選択された希釈ガスを更に含む、請求項に記載の方法。
  11. 基板を処理する方法であって、
    静電チャック上に基板が位置付けられている、プロセスチャンバの処理空間内に、炭化水素を含有する混合ガスを流入させることであって、前記静電チャックはチャック電極と前記チャック電極から離れたRF電極を有し、前記基板が0.5mTorr~10Torrの圧力において維持され、前記炭化水素を含有する混合ガスがアセチレン(C)を含む、混合ガスを流入させることと、
    前記基板上にダイヤモンド状炭素膜を堆積させるために、前記RF電極に第1RFバイアスを印加し、前記チャック電極に第2RFバイアスを印加することにより前記基板の水平面においてプラズマを生成することであって、前記ダイヤモンド状炭素膜が、1.8g/cc~2.5g/ccの密度と、-600MPa~-300MPaの応力とを有する、プラズマを生成することとを含む、方法。
  12. 前記第1RFバイアスは、13.56MHzの周波数で、2500ワット~3000ワットの電力で提供され、前記第2RFバイアスは、2MHzの周波数で、800ワット~1200ワットの電力で提供される、請求項11に記載の方法。
  13. 前記ダイヤモンド状炭素膜が、極紫外(EUV)リソグラフィプロセスにおいて下層として使用される、請求項11に記載の方法。
JP2019567614A 2017-06-08 2018-05-15 ハードマスク及びその他のパターニング応用のための高密度低温炭素膜 Active JP7235683B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2021176077A JP7301931B2 (ja) 2017-06-08 2021-10-28 ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
JP2023102064A JP2023134494A (ja) 2017-06-08 2023-06-21 ハードマスク及びその他のパターニング応用のための高密度低温炭素膜

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762516828P 2017-06-08 2017-06-08
US62/516,828 2017-06-08
PCT/US2018/032684 WO2018226370A1 (en) 2017-06-08 2018-05-15 High-density low temperature carbon films for hardmask and other patterning applications

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021176077A Division JP7301931B2 (ja) 2017-06-08 2021-10-28 ハードマスク及びその他のパターニング応用のための高密度低温炭素膜

Publications (2)

Publication Number Publication Date
JP2020523786A JP2020523786A (ja) 2020-08-06
JP7235683B2 true JP7235683B2 (ja) 2023-03-08

Family

ID=64563698

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2019567614A Active JP7235683B2 (ja) 2017-06-08 2018-05-15 ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
JP2021176077A Active JP7301931B2 (ja) 2017-06-08 2021-10-28 ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
JP2023102064A Pending JP2023134494A (ja) 2017-06-08 2023-06-21 ハードマスク及びその他のパターニング応用のための高密度低温炭素膜

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2021176077A Active JP7301931B2 (ja) 2017-06-08 2021-10-28 ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
JP2023102064A Pending JP2023134494A (ja) 2017-06-08 2023-06-21 ハードマスク及びその他のパターニング応用のための高密度低温炭素膜

Country Status (6)

Country Link
US (1) US11043372B2 (ja)
JP (3) JP7235683B2 (ja)
KR (2) KR102505216B1 (ja)
CN (3) CN110622280B (ja)
TW (2) TWI819388B (ja)
WO (1) WO2018226370A1 (ja)

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11469097B2 (en) 2018-04-09 2022-10-11 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
US11569072B2 (en) 2018-05-03 2023-01-31 Applied Materials, Inc. RF grounding configuration for pedestals
WO2019212592A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP2021531648A (ja) * 2018-07-19 2021-11-18 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 低温熱高品質の誘電体膜
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
CN112740360A (zh) 2018-10-26 2021-04-30 应用材料公司 用于图案化应用的高密度碳膜
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
JP2022534885A (ja) 2019-05-24 2022-08-04 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11587773B2 (en) * 2019-05-24 2023-02-21 Applied Materials, Inc. Substrate pedestal for improved substrate processing
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
WO2021021279A1 (en) 2019-08-01 2021-02-04 Applied Materials, Inc. Dose reduction of patterned metal oxide photoresists
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11802349B2 (en) 2019-10-25 2023-10-31 Applied Materials, Inc. Method for depositing high quality PVD films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
JP2023533711A (ja) * 2020-06-29 2023-08-04 アプライド マテリアルズ インコーポレイテッド ハードマスク及びその他のパターニング応用のための高密度ドープ炭素膜を製造するための方法
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11699585B2 (en) 2020-10-21 2023-07-11 Applied Materials, Inc. Methods of forming hardmasks
US11421324B2 (en) * 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230054444A1 (en) * 2021-08-18 2023-02-23 Applied Materials, Inc. Bipolar esc with balanced rf impedance
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230335402A1 (en) * 2022-04-15 2023-10-19 Applied Materials, Inc. Methods of forming thermally stable carbon film

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003007590A (ja) 2001-06-20 2003-01-10 Toppan Printing Co Ltd ステンシルマスク、その製造方法及び露光方法
JP2004323973A (ja) 2003-04-08 2004-11-18 Kurita Seisakusho:Kk Dlc膜の成膜方法およびdlc成膜物
JP2005150622A (ja) 2003-11-19 2005-06-09 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2007084919A (ja) 2005-07-29 2007-04-05 Applied Materials Inc デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法
JP2007294840A (ja) 2006-03-30 2007-11-08 Toppan Printing Co Ltd 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク、並びに、半導体装置の製造方法
JP2009263769A (ja) 2008-03-31 2009-11-12 Ngk Insulators Ltd Dlc膜量産方法及びその装置
WO2016036533A1 (en) 2014-09-03 2016-03-10 Applied Materials, Inc. Nanocrystalline diamond carbon film for 3d nand hardmask application

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60261143A (ja) * 1984-06-07 1985-12-24 Fujitsu Ltd 半導体装置の製造方法
JPS6240375A (ja) * 1985-08-14 1987-02-21 Kanegafuchi Chem Ind Co Ltd 硬質カ−ボン膜
EP0221531A3 (en) 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha High heat conductive insulated substrate and method of manufacturing the same
JPH07268622A (ja) 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
JP3119172B2 (ja) * 1995-09-13 2000-12-18 日新電機株式会社 プラズマcvd法及び装置
TW422892B (en) * 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
MY132894A (en) 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
EP1197581B1 (en) * 1999-05-19 2006-10-25 Mitsubishi Shoji Plastics Corporation Dlc film, dlc-coated plastic container, and method and apparatus for manufacturing dlc-coated plastic container
US6783589B2 (en) * 2001-01-19 2004-08-31 Chevron U.S.A. Inc. Diamondoid-containing materials in microelectronics
JP4599734B2 (ja) * 2001-03-14 2010-12-15 ソニー株式会社 多結晶性半導体薄膜の形成方法、及び半導体装置の製造方法
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
JP2004228383A (ja) * 2003-01-23 2004-08-12 Nikon Corp 露光装置
JP2004246987A (ja) * 2003-02-14 2004-09-02 Fujitsu Ltd 素子形成用ウエハ構造体、素子の製造方法、磁気記録ヘッド及び磁気ディスク装置
US8808856B2 (en) 2005-01-05 2014-08-19 Pureron Japan Co., Ltd. Apparatus and method for producing carbon film using plasma CVD and carbon film
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
KR100812504B1 (ko) 2006-09-05 2008-03-11 성균관대학교산학협력단 전도성 고경도 탄소박막의 제조 방법 및 박막 전계 발광소자용 전극으로의 응용
US8500963B2 (en) 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
US8105660B2 (en) 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
US20090029067A1 (en) * 2007-06-28 2009-01-29 Sciamanna Steven F Method for producing amorphous carbon coatings on external surfaces using diamondoid precursors
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP4755262B2 (ja) 2009-01-28 2011-08-24 株式会社神戸製鋼所 ダイヤモンドライクカーボン膜の製造方法
US8455060B2 (en) * 2009-02-19 2013-06-04 Tel Epion Inc. Method for depositing hydrogenated diamond-like carbon films using a gas cluster ion beam
CN103597119B (zh) * 2009-07-08 2017-03-08 艾克斯特朗欧洲公司 用于等离子体处理的装置和方法
KR20110115291A (ko) * 2010-04-15 2011-10-21 경북대학교 산학협력단 Dlc 코팅장치
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
KR20120121340A (ko) 2011-04-26 2012-11-05 삼성전자주식회사 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법
US20120276743A1 (en) * 2011-04-26 2012-11-01 Jai-Hyung Won Methods of forming a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
EP2587518B1 (en) 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
CN103594495A (zh) * 2012-08-16 2014-02-19 中国科学院微电子研究所 半导体器件及其制造方法
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
WO2014149175A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
EP3007206A4 (en) * 2013-05-24 2017-03-15 Mitsui Chemicals, Inc. Pellicle and euv exposure device comprising same
US9984915B2 (en) * 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
US9583358B2 (en) * 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
US9368721B1 (en) * 2014-11-25 2016-06-14 Intermolecular, Inc. Diamond like carbon (DLC) as a thermal sink in a selector stack for non-volatile memory application
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9695593B2 (en) 2015-11-10 2017-07-04 Detec Systems Llc Leak detection in roof membranes
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
TWI820667B (zh) * 2018-06-19 2023-11-01 美商應用材料股份有限公司 間隙填充物沉積方法及類金剛石之碳的間隙填充物材料

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003007590A (ja) 2001-06-20 2003-01-10 Toppan Printing Co Ltd ステンシルマスク、その製造方法及び露光方法
JP2004323973A (ja) 2003-04-08 2004-11-18 Kurita Seisakusho:Kk Dlc膜の成膜方法およびdlc成膜物
JP2005150622A (ja) 2003-11-19 2005-06-09 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2007084919A (ja) 2005-07-29 2007-04-05 Applied Materials Inc デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法
JP2007294840A (ja) 2006-03-30 2007-11-08 Toppan Printing Co Ltd 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク、並びに、半導体装置の製造方法
JP2009263769A (ja) 2008-03-31 2009-11-12 Ngk Insulators Ltd Dlc膜量産方法及びその装置
WO2016036533A1 (en) 2014-09-03 2016-03-10 Applied Materials, Inc. Nanocrystalline diamond carbon film for 3d nand hardmask application

Also Published As

Publication number Publication date
CN110622280B (zh) 2023-11-24
TW202206642A (zh) 2022-02-16
KR102505216B1 (ko) 2023-02-28
JP2022023933A (ja) 2022-02-08
KR20200006129A (ko) 2020-01-17
JP2020523786A (ja) 2020-08-06
CN117524848A (zh) 2024-02-06
TWI785060B (zh) 2022-12-01
KR20210129276A (ko) 2021-10-27
US20180358222A1 (en) 2018-12-13
CN113936997A (zh) 2022-01-14
TW201908518A (zh) 2019-03-01
CN110622280A (zh) 2019-12-27
KR102604084B1 (ko) 2023-11-17
US11043372B2 (en) 2021-06-22
JP7301931B2 (ja) 2023-07-03
JP2023134494A (ja) 2023-09-27
WO2018226370A1 (en) 2018-12-13
TWI819388B (zh) 2023-10-21

Similar Documents

Publication Publication Date Title
JP7301931B2 (ja) ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
US11728168B2 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
KR20130118880A (ko) 초고도 선택도 도핑된 비정질 탄소 박리가능 하드마스크 현상 및 통합
US11664226B2 (en) Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
JP2023532883A (ja) ハードマスク及びその他のパターニング応用のための高密度窒素ドープ炭素膜を製造するための方法
KR102662427B1 (ko) 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들
KR102659258B1 (ko) 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210510

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220428

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220510

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220804

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221109

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230214

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230224

R150 Certificate of patent or registration of utility model

Ref document number: 7235683

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150