KR102662427B1 - 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들 - Google Patents

초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들 Download PDF

Info

Publication number
KR102662427B1
KR102662427B1 KR1020187036743A KR20187036743A KR102662427B1 KR 102662427 B1 KR102662427 B1 KR 102662427B1 KR 1020187036743 A KR1020187036743 A KR 1020187036743A KR 20187036743 A KR20187036743 A KR 20187036743A KR 102662427 B1 KR102662427 B1 KR 102662427B1
Authority
KR
South Korea
Prior art keywords
boron
carbon
substrate
semiconductor device
mpa
Prior art date
Application number
KR1020187036743A
Other languages
English (en)
Other versions
KR20180137044A (ko
Inventor
프라산트 쿠마르 쿨쉬레쉬타
치킹 두안
카르틱 팀마바쭈라 나라심하
광덕 더글라스 리
복 헌 김
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020247013954A priority Critical patent/KR20240064735A/ko
Publication of KR20180137044A publication Critical patent/KR20180137044A/ko
Application granted granted Critical
Publication of KR102662427B1 publication Critical patent/KR102662427B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 개시내용의 구현예들은 일반적으로 집적 회로들의 제조에 관한 것이다. 더 상세하게는, 본 명세서에 기술된 구현예들은 기판 상에 붕소-탄소 막들의 증착을 위한 기법들을 제공한다. 일 구현예에서, 기판을 프로세싱하는 방법이 제공된다. 이 방법은, 기판이 내부에 배치되어 있는 프로세싱 챔버의 프로세싱 용적 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계 ― 기판은 섭씨 약 400도 내지 섭씨 약 700도의 기판 온도로 가열됨 ―, 붕소-함유 가스 혼합물을 프로세싱 용적 내로 유동시키는 단계, 및 가열된 기판 상에 붕소-탄소 막을 증착하기 위해 프로세싱 용적 내에 RF 플라즈마를 생성하는 단계를 포함하고, 붕소-탄소 막은 약 200 내지 약 400 GPa의 탄성률 및 약 -100 MPa 내지 약 100 MPa의 응력을 갖는다.

Description

초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들{ULTRA-HIGH MODULUS AND ETCH SELECTIVITY BORON-CARBON HARDMASK FILMS}
[0001] 본 개시내용의 구현예들은 일반적으로 집적 회로들의 제조에 관한 것이다. 더 상세하게는, 본 명세서에 기술된 구현예들은 기판 상에 붕소-탄소 막들의 증착을 위한 기법들을 제공한다.
[0002] 집적 회로들은 단일 칩 상에 수백만 개의 트랜지스터들, 커패시터들 및 저항기들을 포함할 수 있는 복잡한 디바이스들로 진화하였다. 칩 설계들의 진화는 더 빠른 회로소자(circuitry)와 더 큰 회로 밀도를 지속적으로 요구한다. 더 큰 회로 밀도들을 갖는 더 빠른 회로들에 대한 요구들은 그러한 집적 회로들을 제조하는 데 사용되는 재료들에 대해 대응하는 요구들을 부과한다. 특히, 집적 회로 컴포넌트들의 치수들이 나노미터 스케일로 축소됨에 따라, 이제는 그러한 컴포넌트들로부터 적합한 전기적 성능을 획득하기 위해 저 저항률 전도성 재료들뿐만 아니라 저 유전 상수 절연 재료들을 사용하는 것이 필요하다.
[0003] 더 큰 집적 회로 밀도들에 대한 요구들은 또한 집적 회로 컴포넌트들의 제조에 사용되는 프로세스 시퀀스들에 대해서도 요구들을 부과한다. 예를 들어, 종래의 포토리소그래픽 기법들을 사용하는 프로세스 시퀀스들에서는, 기판 상에 배치된 재료 층들의 스택 위에 에너지 감응성 레지스트의 층이 형성된다. 에너지 감응성 레지스트 층은 패턴의 이미지에 노출되어 포토레지스트 마스크를 형성한다. 그 후, 마스크 패턴은 에칭 프로세스를 이용하여 스택의 하나 또는 그 초과의 재료 층들로 전사된다. 에칭 프로세스에서 사용되는 화학적 에천트는 에너지 감응성 레지스트의 마스크보다 스택의 재료 층들에 대해 더 큰 에칭 선택비를 갖도록 선택된다. 즉, 화학적 에천트는 에너지 감응성 레지스트보다 훨씬 빠른 속도로 재료 스택의 하나 또는 그 초과의 층들을 에칭한다. 레지스트에 대한, 스택의 하나 또는 그 초과의 재료 층들에 대한 에칭 선택비는, 패턴 전사의 완료 전에 에너지 감응성 레지스트가 소모되는 것을 방지한다.
[0004] 패턴 치수들이 감소됨에 따라, 패턴 해상도를 제어하기 위해 에너지 감응성 레지스트의 두께는 대응하여 감소되어야 한다. 그러한 얇은 레지스트 층들은 화학적 에천트에 의한 공격으로 인해 패턴 전사 단계 동안 하부의 재료 층들을 마스킹하기에 불충분할 수 있다. 패턴 전사를 용이하게 하기 위해 에너지 감응성 레지스트 층과 하부의 재료 층들 사이에 하드마스크라 불리는 중간 층이 종종 사용되는데 그 이유는 화학 에천트에 대한 그것의 내성이 더 크기 때문이다. 높은 에칭 선택비를 가지면서 에칭 프로세스가 완료된 후 제거하기 쉬운 얇은 하드마스크들을 갖는 것이 바람직하다. 임계 치수들(CD)이 감소함에 따라, 현재의 하드마스크 재료들은 하부의 재료들에 대해 원하는 에칭 선택비가 부족하고 종종 제거하기 어렵다.
[0005] 붕소-탄소 막들은 양호한 기계적 속성들, 우수한 스텝 커버리지, 양호한 습식 에칭 내성 및 저 유전체 막들에 대한 높은 건식 에칭 선택비를 갖는다. 이러한 특성들 모두는 로우-k(low-k) 유전체 에칭에 대한 리소그래픽 하드마스크들 및 자기-정렬 이중 패터닝(self-aligned double-patterning) 프로세스들과 같은 응용들에 유익하다. 그러나, 비결정질 붕소 막들은, 그것들의 비결정질 성질로 인해, 높은 막 응력을 갖는 경향이 있고 이는 집적 회로를 손상시키는 라인 굴곡을 야기한다. 비결정질 탄소 막들은 불량한 에칭 선택비를 갖고, 이는 두꺼운 하드마스크들을 필요로 한다. 두꺼운 하드마스크들은 감소된 투명성, 및 더 높은 종횡비들에서의 패턴 굴곡 또는 붕괴로 인해 적합하지 않다.
[0006] 그러므로 개선된 에칭 선택비를 갖는 투명한 하드마스크 막에 대한 필요성이 존재한다. 또한, 개선된 하드마스크 층들을 증착하기 위한 방법들에 대한 필요성도 존재한다.
[0007] 본 개시내용의 구현예들은 일반적으로 집적 회로들의 제조에 관한 것이다. 더 상세하게는, 본 명세서에 기술된 구현예들은 기판 상에 붕소-탄소 막들의 증착을 위한 기법들을 제공한다. 일 구현예에서, 기판을 프로세싱하는 방법이 제공된다. 이 방법은, 기판이 내부에 배치되어 있는 프로세싱 챔버의 프로세싱 용적 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계 ― 기판은 섭씨 약 400도 내지 섭씨 약 700도의 기판 온도로 가열됨 ―, 붕소-함유 가스 혼합물을 프로세싱 용적 내로 유동시키는 단계, 및 가열된 기판 상에 붕소-탄소 막을 증착하기 위해 프로세싱 용적 내에 RF 플라즈마를 생성하는 단계를 포함하고, 붕소-탄소 막은 약 200 내지 약 400 GPa의 탄성률 및 약 -100 MPa 내지 약 100 MPa의 응력을 갖는다.
[0008] 다른 구현예에서는, 기판을 프로세싱하는 방법이 제공된다. 이 방법은, 기판이 내부에 배치되어 있는 프로세싱 챔버의 프로세싱 용적 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계 ― 기판은 섭씨 약 400도 내지 섭씨 약 700도의 기판 온도로 가열되고, 탄화수소-함유 가스 혼합물은 프로필렌(C3H6)을 포함함 ―, 붕소-함유 가스 혼합물을 프로세싱 용적 내로 유동시키는 단계 ― 붕소-함유 가스 혼합물은 디보란(B2H6)을 포함함 ―, 및 가열된 기판 상에 붕소-탄소 막을 증착하기 위해 프로세싱 용적 내에 RF 플라즈마를 생성하는 단계를 포함하고, 붕소-탄소 막은 약 200 내지 약 400 GPa의 탄성률 및 약 -100 MPa 내지 약 100 MPa의 응력을 갖는다.
[0009] 또 다른 구현예에서는, 기판을 프로세싱하는 방법이 제공된다. 이 방법은, 기판이 내부에 배치되어 있는 프로세싱 챔버의 프로세싱 용적 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계 ― 기판은 섭씨 약 400도 내지 섭씨 약 700도의 기판 온도로 가열됨 ―, 붕소-함유 가스 혼합물을 프로세싱 용적 내로 유동시키는 단계, 프로세싱 용적 내의 압력을 미리 정의된 RF-온 지연 시간 기간 동안 안정화시키는 단계, 가열된 기판 상에 붕소-탄소 막을 증착하기 위해 프로세싱 용적 내에 RF 플라즈마를 생성하는 단계 ― 붕소-탄소 막은 약 200 내지 약 400 GPa의 탄성률 및 약 -100 MPa 내지 약 100 MPa의 응력을 가짐 ―, 붕소-탄소 막 위에 패터닝된 포토레지스트 층을 형성하는 단계, 붕소-탄소 막을 패터닝된 포토레지스트 층과 대응하는 패턴으로 에칭하는 단계, 패턴을 기판 내로 에칭하는 단계, 및 붕소-탄소 막의 에칭된 부분들 내로 재료를 증착하는 단계를 포함한다.
[0010] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 구현예들의 보다 구체적인 설명이 구현예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 구현예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현예들을 허용할 수 있기 때문이다.
[0011] 도 1은 본 명세서에 기술된 구현예들의 실시에 이용될 수 있는 장치의 개략도를 도시한다;
[0012] 도 2는 본 명세서에 기술된 구현예들에 따른 붕소-탄소 막을 증착하기 위한 방법의 일 구현예를 도시하는 프로세스 흐름도이다;
[0013] 도 3은 본 명세서에 기술된 구현예들에 따른 하드마스크 층으로서 붕소-탄소 막을 포함하는 기판 구조의 개략적인 단면도를 도시한다;
[0014] 도 4a는 본 명세서에 기술된 구현예들에 따른 붕소-탄소 막들의 증착 레이트에 대한 온도 및 붕소 혼입의 영향을 예시하는 플롯이다;
[0015] 도 4b는 본 명세서에 기술된 구현예들에 따른 붕소-탄소 막들의 광학적 속성들에 대한 온도 및 붕소 혼입의 영향을 예시하는 플롯이다;
[0016] 도 4c는 본 명세서에 기술된 구현예들에 따른 붕소-탄소 막들의 막 밀도에 대한 온도 및 붕소 혼입의 영향을 예시하는 플롯이다;
[0017] 도 4d는 본 명세서에 기술된 구현예들에 따른 붕소-탄소 막들의 응력에 대한 온도 및 붕소 혼입의 영향을 예시하는 플롯이다;
[0018] 도 5a는 본 명세서에 기술된 구현예들에 따른 붕소-탄소 막들의 응력 및 막 밀도에 대한 압력의 영향을 예시하는 플롯이다;
[0019] 도 5b는 본 명세서에 기술된 구현예들에 따른 붕소-탄소 막들의 응력 및 막 밀도에 대한 간격의 영향을 예시하는 플롯이다;
[0020] 도 6은 본 명세서에 기술된 구현예들에 따른 붕소-탄소 막들에 대한 산화물 에칭 선택비 및 텅스텐 에칭 선택비에 대한 붕소 퍼센트의 영향을 예시하는 막대 그래프이다;
[0021] 도 7a는 본 명세서에 기술된 구현예들에 따른 붕소-탄소 막들의 산화물 에칭 선택비 및 응력에 대한 온도의 영향을 예시하는 막대 그래프이다;
[0022] 도 7b는 본 명세서에 기술된 구현예들에 따른 붕소-탄소 막들의 산화물 에칭 선택비 및 응력에 대한 질소 유량의 영향을 예시하는 막대 그래프이다;
[0023] 도 7c는 본 명세서에 기술된 구현예들에 따른 붕소-탄소 막들의 텅스텐/실리콘 에칭 선택비 및 응력에 대한 온도의 영향을 예시하는 막대 그래프이다;
[0024] 도 7d는 본 명세서에 기술된 구현예들에 따른 붕소-탄소 막들의 텅스텐/실리콘 에칭 선택비 및 응력에 대한 질소 유량의 영향을 예시하는 막대 그래프이다.
[0025] 이해를 촉진시키기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 구현예의 엘리먼트들 및 피처(feature)들이 추가의 언급없이 다른 구현예들에 유익하게 통합될 수 있음이 예상된다. 그러나, 첨부된 도면들은 본 개시내용의 단지 예시적인 구현예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현예들을 허용할 수 있기 때문이다.
[0026] 다음의 개시내용은 기판 상에 높은 탄성률 및 에칭 선택비를 갖는 붕소-탄소 막들의 증착을 위한 기법들을 설명한다. 본 개시내용의 다양한 구현예들에 대한 철저한 이해를 제공하기 위해 다음의 설명에서 그리고 도 1 내지 도 7d에서는 특정 세부사항들이 제시된다. 다양한 구현예들에 대한 설명을 불필요하게 모호하게 하는 것을 피하기 위해 다음의 개시내용에서는 붕소-탄소 막들과 종종 연관되는 익히 공지된 구조들 및 시스템들을 설명하는 다른 세부사항들은 제시되지 않는다.
[0027] 도면들에 도시된 많은 세부사항들, 치수들, 각도들 및 다른 특징들은 특정 구현예들을 예시하는 것에 불과하다. 따라서, 다른 구현예들은 본 개시내용의 사상이나 범위를 벗어나지 않고 다른 세부사항들, 컴포넌트들, 치수들, 각도들 및 피처들을 가질 수 있다. 또한, 아래에 설명되는 세부사항들 중 일부가 없이 본 개시내용의 추가 구현예들이 실시될 수 있다.
[0028] 높은 에칭 선택비를 갖는 하드마스크들은 치수가 ~10 나노미터 또는 이 보다 작은 디바이스들의 개발을 위한 초석이다. 현재의 저온 붕소-함유 탄소 하드마스크들은 이전의 비결정질 탄소 하드마스크 막들에 비해 양호한 에칭 선택비, 기계적 강도, 투명성 및 박리의 용이성을 달성한다. 그러나, 저온 붕소-함유 하드마스크 막들의 비결정질 성질, 더 높은 수소 혼입 및 더 낮은 탄성률(~100 GPa)은 높은 종횡비 피처들 및 더 작은 치수의 디바이스들의 제조를 제한한다. 차세대 집적 회로 칩셋들을 가능하게 하기 위해, 본 개시내용의 구현예들은 더 높은 온도들(예를 들어, 섭씨 400도 이상)에서 고밀도 붕소-탄소 하드마스크 막들의 제조를 제공한다. 본 명세서에 기술된 고밀도 붕소-탄소 하드마스크 막들의 구현예들은 더 낮은 응력, 투명성 및 높은 증착 레이트들을 유지하면서, 현재 이용 가능한 저온 붕소-함유 하드마스크 막들에 비해 막내 H2 함량을 감소시키고 탄성률을 증가시켰다. 본 명세서에 기술된 붕소-탄소 하드마스크 막들의 극도로 높은 에칭 선택비는 미래 세대의 NAND 및 DRAM 디바이스들에서 높은 종횡비 피처들의 단일-패스 패턴 전사를 허용한다. 본 명세서에 기술된 붕소-탄소 하드마스크 막들은 패턴 붕괴에 대한 그들의 내성, 우수한 임계 치수("CD") 제어 및 더 높은 패턴 해상도로 인해 7-10 나노미터 디바이스들에 매우 적합하다. 게다가, 하부의 층들에 대한 극도의 에칭 선택비로 인해; 본 명세서에 기술된 붕소-탄소 하드마스크 막들은 현재의 막들에 비해 더 얇고(예를 들어, 동일한 디바이스 치수들에 대해 약 30% 내지 40% 더 얇고), 이는 증착 및 박리 시간을 감소시킬 것이다. 본 개시내용의 구현예들은 초고 탄성률(200 GPa 이상) 및 높은 에칭 선택비(현재의 하드마스크 막들에 비해 4배 초과 개선)를 갖는 붕소-탄소 막들을 제공한다.
[0029] 막들은 다양한 탄소 전구체들(예를 들어, 프로필렌, 아세틸렌, 에틸렌, 메탄, 헥산, 헥산, 이소프렌, 부타디엔 등), 붕소 소스들(예를 들어, 디보란 (B2H6), 오르토카르보란 및 트리메틸보라진); 및 질소 함유 전구체들(예를 들어, 피리딘, 지방족 아민, 아민들, 니트릴들, 암모니아)을 이용하여 증착될 수 있다. 온도(예를 들어, 섭씨 400 내지 700도; 섭씨 550 내지 650도), 압력(예를 들어, 2 내지 20 토르; 10 내지 20 토르), 붕소 전구체 유량(예를 들어, 100-6,000 sccm), 붕소 전구체의 H2 희석(예를 들어, 2 내지 20 %), 및 RF 전력(예를 들어, 500 내지 2,500 와트)을 포함하는 상이한 막 증착 파라미터들의 체계적인 분석에 기초하여, 더 높은 온도들에서는, 흡광 계수(k) 및 응력이 저온들에서보다 상당히 더 높다고 결정되었다.
[0030] 더 높은 k 및 응력은 막 조성에 악영향을 미치고, 포토리소그래픽 패턴 전사에 유해하다. 매우 높은 막 응력들에서는, 잔류 응력이 막이 하부의 층으로부터 박리되게 하였거나 높은 입자 결함들을 야기한다. 유사하게, 막의 흡수/흡광 계수는 온도에 강하게 의존하고, 형태 및 분자 구조 비결정질 탄소 구조(즉 sp2/sp3 바인딩) 및 붕소 혼입에 의해 드라이브된다. 따라서, 본 명세서에 기술된 구현예들은 <0.01 k 및 <+/-100 MPa 응력을 갖는 붕소-탄소 막들을 증착하기 위한 막 증착 파라미터들(B2H6 유동, 기판 대 전극 간격, RF 전력, 챔버 압력 등)을 제공한다.
[0031] 본 명세서에 기술된 구현예들은 이하에서 임의의 적합한 박막 증착 시스템을 이용하여 수행될 수 있는 PECVD 프로세스에 관련하여 설명될 것이다. 적합한 시스템들의 예들은 DxZTM 프로세싱 챔버를 이용할 수 있는 CENTURA® 시스템들, PRECISION 5000® 시스템들, PRODUCERTM 시스템들, PRODUCER GTTM 및 PRODUCER SETM 프로세싱 챔버들을 포함하고 이들은 캘리포니아주 산타 클라라 소재의 Applied Materials, Inc.로부터 상업적으로 입수할 수 있다. PECVD 프로세스들을 수행할 수 있는 다른 툴들이 또한, 본 명세서에 기술된 구현예들로부터 이익을 얻도록 적응될 수 있다. 또한, 본 명세서에 기술된 PECVD 프로세스들을 가능하게 하는 임의의 시스템이 유리하게 이용될 수 있다. 본 명세서에 기술된 장치 설명은 예시적인 것이고 본 명세서에 기술된 구현예들의 범위를 제한하는 것으로 이해되거나 해석되어서는 안 된다.
[0032] "약"이라는 용어는 지시된 값의 ±0.5% 또는 최대 1% 이내를 일반적으로 나타낸다. 또한, "약"이라는 용어는 측정 오차(즉, 측정 방법에서의 한계들에 의한), 또는 대안적으로, 그룹(예를 들어, 공극들의 모집단(population of pores))의 물리적 특성의 변동 또는 평균을 나타낼 수 있다.
[0033] 도 1은 본 명세서에 기술된 구현예들에 따라 비결정질 탄소 층 증착을 수행하는 데 이용될 수 있는 기판 프로세싱 시스템(132)의 개략도를 도시한다. 기판 프로세싱 시스템(132)은 가스 패널(130) 및 제어기(110)에 결합된 프로세싱 챔버(100)를 포함한다. 프로세싱 챔버(100)는 일반적으로 내부 프로세싱 용적(126)을 정의하는 상부(124), 측면(101) 및 하부 벽(122)을 포함한다. 기판(190)을 지지하기 위한 지지 페디스털(150)이 프로세싱 챔버(100)의 내부 프로세싱 용적(126) 내에 배치된다. 지지 페디스털(150)은 스템(160)에 의해 지지되고 전형적으로 알루미늄, 세라믹, 및 다른 적합한 재료들로 제조될 수 있다. 지지 페디스털(150)은 변위 메커니즘(도시되지 않음)을 이용하여 프로세싱 챔버 (100) 내에서 수직 방향으로 이동될 수 있다.
[0034] 지지 페디스털(150)은 지지 페디스털(150)의 표면(192) 상에 지지된 기판(190)의 온도를 제어하기에 적합한 내장된 가열기 요소(170)를 포함할 수 있다. 지지 페디스털(150)은 전력 공급부(106)로부터 내장된 가열기 요소(170)로 전류를 인가함으로써 저항식으로 가열될 수 있다. 내장된 가열기 요소(170)는 니켈-철-크롬 합금(예를 들어, INCOLOY®) 외장 튜브(sheath tube) 내에 캡슐화된 니켈-크롬 와이어로 제조될 수 있다. 전력 공급부(106)로부터 공급된 전류는, 내장된 가열기 요소(170)에 의해 발생된 열을 제어함으로써, 막 증착 동안 기판(190) 및 지지 페디스털(150)을 실질적으로 일정한 온도로 유지하기 위해 제어기(110)에 의해 조절된다. 공급된 전류는 지지 페디스털(150)의 온도를 섭씨 약 400도 내지 섭씨 약 700도로 선택적으로 제어하기 위해 조절될 수 있다.
[0035] 통상적인 방식으로 지지 페디스털(150)의 온도를 모니터링하기 위해 열전쌍과 같은 온도 센서(172)가 지지 페디스털(150)에 내장될 수 있다. 측정된 온도는 기판(190)을 원하는 온도로 유지하기 위해 제어기(110) 의해 내장된 가열기 요소(170)에 공급되는 전력을 제어하는 데 사용된다.
[0036] 진공 펌프(102)가 프로세싱 챔버(100)의 바닥에 형성된 포트에 결합된다. 진공 펌프(102)는 프로세싱 챔버(100) 내의 원하는 가스 압력을 유지하는 데 사용된다. 진공 펌프(102)는 또한 프로세싱 챔버(100)로부터 프로세스의 부산물들 및 포스트-프로세싱 가스들을 배출한다.
[0037] 기판 프로세싱 시스템(132)은 챔버 압력을 제어하기 위한 추가의 장비, 예를 들어, 챔버 압력을 제어하기 위해 프로세싱 챔버(100)와 진공 펌프(102) 사이에 배치된 밸브들(예를 들어, 스로틀 밸브들 및 격리 밸브들)을 추가로 포함할 수 있다.
[0038] 복수의 애퍼처들(128)을 갖는 가스 분배 어셈블리(120)가 지지 페디스털(150) 위에 프로세싱 챔버(100)의 상부에 배치된다. 가스 분배 어셈블리(120)의 애퍼처들(128)은 프로세스 가스들을 프로세싱 챔버(100) 내로 도입하는 데 이용된다. 애퍼처들(128)은 상이한 프로세스 요건들에 대해 다양한 프로세스 가스들의 유동을 용이하게 하기 위해 상이한 크기들, 개수, 분포들, 형상, 디자인, 및 직경들을 가질 수 있다. 가스 분배 어셈블리(120)는 프로세스 동안 내부 프로세싱 용적(126)으로 다양한 가스들이 공급되게 하는 가스 패널(130)에 연결된다. 기판(190)의 표면(191) 상에 재료의 증착을 야기하는 프로세스 가스들의 열 분해를 향상시키기 위해 가스 분배 어셈블리(120)에서 나가는 프로세스 가스 혼합물로부터 플라즈마가 형성된다.
[0039] 가스 분배 어셈블리(120)와 지지 페디스털(150)은 내부 프로세싱 용적(126)에서 한 쌍의 이격된 전극들을 형성할 수 있다. 하나 또는 그 초과의 RF 전력 소스(140)가 정합 네트워크(138)를 통해 가스 분배 어셈블리(120)에 바이어스 전위를 제공하여 가스 분배 어셈블리(120)와 지지 페디스털(150) 사이의 플라즈마의 생성을 용이하게 한다. 대안적으로, RF 전력 소스들(140)과 정합 네트워크(138)는 가스 분배 어셈블리(120), 또는 지지 페디스털(150)에 결합되거나, 가스 분배 어셈블리(120)와 지지 페디스털(150) 둘 다에 결합되거나, 프로세싱 챔버(100)의 외부에 배치된 안테나(도시되지 않음)에 결합될 수 있다. 일 구현예에서, RF 전력 소스들(140)은 약 50 kHz 내지 약 13.6 MHz의 주파수에서 약 100 와트 내지 약 3,000 와트를 제공할 수 있다. 다른 구현예에서는, RF 전력 소스들(140)은 약 50 kHz 내지 약 13.6 MHz의 주파수에서 약 500 와트 내지 약 1,800 와트를 제공할 수 있다.
[0040] 제어기(110)는 프로세스 시퀀스를 제어하고 가스 패널(130)로부터의 가스 유동들을 조절하는 데 이용되는 중앙 처리 유닛(CPU)(112), 메모리(116), 및 지원 회로(114)를 포함한다. CPU(112)는 산업 환경에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들은 랜덤 액세스 메모리, 판독 전용 메모리, 플로피, 또는 하드 디스크 드라이브와 같은 메모리(116), 또는 다른 형태의 디지털 스토리지에 저장될 수 있다. 지원 회로(114)는 통상적으로 CPU(112)에 결합되고 캐시, 클럭 회로들, 입력/출력 시스템들, 전력 공급부들, 및 기타 유사한 것을 포함할 수 있다. 제어기(110)와 기판 프로세싱 시스템(132)의 다양한 컴포넌트들 사이의 양방향 통신들은 그 중 일부가 도 1에 도시되어 있는 신호 버스들(118)이라고 집합적으로 언급되는 다수의 신호 케이블들을 통해 처리된다.
[0041] 다른 증착 챔버들도 또한 본 개시내용으로부터 이익을 얻을 수 있고 위에 열거된 파라미터들은 비결정질 탄소 층을 형성하는 데 사용되는 특정 증착 챔버에 따라 달라질 수 있다. 예들 들어, 다른 증착 챔버들은 Applied Materials, Inc.로부터 입수할 수 있는 증착 챔버들에 대해 언급된 것들보다 더 큰 또는 더 작은 가스 유량들을 요구하면서, 더 큰 또는 더 작은 용적을 가질 수 있다. 일 구현예에서, 붕소-탄소 막은 아래 표 1에 제시된 파라미터들을 사용하여 캘리포니아주 산타 클라라 소재의 Applied Materials, Inc.로부터 상업적으로 입수할 수 있는 PRODUCER SETM 또는 PRODUCER GTTM 프로세싱 챔버를 사용하여 증착될 수 있다.
[0042] 증착 직후(as-deposited)의 붕소-탄소 막 내의 붕소의 양/백분율은 응용에 따라 달라질 수 있다. 막 내의 붕소 혼입의 원자 백분율은 다음과 같이 계산된다: ((B/(B+C)%). 본 개시내용의 다양한 구현예들에서, 붕소-탄소 막은 적어도 5, 8, 10, 15, 20, 25, 30, 35, 40, 45, 50, 55, 60, 또는 65 원자 백분율의 붕소를 함유할 수 있다. 붕소-탄소 막은 최대 8, 10, 15, 20, 25, 30, 35, 40, 45, 50, 55, 60, 65, 또는 70 원자 백분율의 붕소를 함유할 수 있다. 붕소-탄소 막은 약 5 내지 약 70 원자 백분율의 붕소를 함유할 수 있다. 붕소-탄소 막은 약 30 내지 약 70 원자 백분율의 붕소를 함유할 수 있다. 붕소-탄소 막은 약 50 내지 약 60 원자 백분율의 붕소를 함유할 수 있다. 막 내의 탄소 혼입의 원자 백분율은 다음과 같이 계산된다: ((C/(B+C)%). 붕소-탄소 막은 적어도 30, 35, 40, 45, 50, 55, 60, 65, 70, 75, 80, 85, 또는 90 원자 백분율의 탄소를 함유할 수 있다. 붕소-탄소 막은 최대 35, 40, 45, 50, 55, 60, 65, 70, 75, 80, 85, 90, 또는 95 원자 백분율의 탄소를 함유할 수 있다. 붕소-탄소 막은 약 30 내지 약 95 원자 백분율의 탄소를 함유할 수 있다. 붕소-탄소 막은 약 30 내지 약 70 원자 백분율의 탄소를 함유할 수 있다. 붕소-탄소 막은 약 35 내지 약 50 원자 백분율의 탄소를 함유할 수 있다. 붕소-탄소 막은 적어도 10, 15, 또는 20 원자 백분율의 수소를 함유할 수 있다. 붕소-탄소 막은 최대 15, 20, 또는 25 원자 백분율의 수소를 함유할 수 있다. 붕소-탄소 막은 약 10 내지 약 25 원자 백분율의 수소를 함유할 수 있다. 붕소-탄소 막은 약 15 내지 약 20 원자 백분율의 수소를 함유할 수 있다. 질소가 전구체로서 사용되는 특정 구현예들에서, 붕소-탄소 막은 적어도 2, 5, 8, 10, 또는 15 원자 백분율의 질소를 함유할 수 있다. 붕소-탄소 막은 최대 5, 8, 10, 15 또는 20 원자 백분율의 질소를 함유할 수 있다. 붕소-탄소 막은 약 2 내지 약 15 원자 백분율의 질소를 함유할 수 있다. 붕소-탄소 막은 약 5 내지 약 10 원자 백분율의 질소를 함유할 수 있다.
[0043] 일반적으로, 붕소-함유 비결정질 탄소 층을 형성하기 위해 다음의 예시적인 증착 프로세스 파라미터들이 사용될 수 있다. 프로세스 파라미터들은 섭씨 약 400도 내지 섭씨 약 700도(예를 들어, 섭씨 약 500도 내지 섭씨 약 700도 사이; 섭씨 약 550도 내지 섭씨 약 650도 사이)의 웨이퍼 온도의 범위일 수 있다. 챔버 압력은 약 1 토르 내지 약 20 토르(예를 들어, 약 2 토르와 약 15 토르 사이; 약 12 토르와 약 15 토르 사이)의 챔버 압력의 범위일 수 있다. 탄화수소-함유 가스의 유량은 약 200 sccm 내지 약 5,000 sccm(예를 들어, 약 200 sccm과 약 2,000 sccm 사이; 약 500 sccm과 약 700 sccm 사이)일 수 있다. 희석 가스의 유량은 개별적으로 약 0 sccm 내지 약 5,000 sccm(약 3,000 sccm 내지 약 5,000 sccm; 약 3,500 sccm 내지 약 4,500 sccm)의 범위일 수 있다. 불활성 가스의 유량은 개별적으로 약 0 sccm 내지 약 10,000 sccm(예를 들어, 약 200 sccm 내지 약 2,000 sccm; 약 400 sccm 내지 약 500 sccm)의 범위일 수 있다. 붕소-함유 가스 혼합물의 유량은 약 100 sccm 내지 약 15,000 sccm(예를 들어, 약 200 sccm과 약 6,000 sccm 사이; 약 4,000 sccm과 약 5,000 sccm 사이)일 수 있다. RF 전력은 1,000 와트와 3,000 와트 사이일 수 있다. RF 전력은 약 1 W/in2와 약 100 W/in2 사이(예를 들어, 약 3 W/in2와 약 20 W/in2 사이)일 수 있다. 기판(190)의 상부 표면(191)과 가스 분배 어셈블리(120) 사이의 플레이트 간격은 약 200 mils 내지 약 1000 mils 사이(예를 들어, 약 200 mils 내지 약 600 mils 사이, 약 300 mils 내지 약 400 mils 사이)일 수 있다. 붕소-탄소 막은 약 100 Å과 약 30,000 Å 사이의 두께로 증착될 수 있다. 붕소-탄소 막은 약 1,000 Å과 약 18,000 Å 사이의 두께로 증착될 수 있다. 붕소-탄소 막은 약 100 Å과 약 20,000 Å 사이, 예컨대 약 300 Å과 약 5000 Å 사이의 두께로 증착될 수 있다. 상기 프로세스 파라미터들은 약 100 Å/min 내지 약 10,000Å/min의 범위의 붕소-함유 비결정질 탄소 층에 대한 전형적인 증착 레이트를 제공하고, 캘리포니아주 산타 클라라 소재의 Applied Materials, Inc.로부터 입수할 수 있는 증착 챔버에서 300 mm 기판 상에 구현될 수 있다.
Figure 112018127284186-pat00001
[0044] 증착 직후의 붕소-탄소 막은 2.5보다 큰, 예를 들어 대략 2.7(예를 들어, 약 2.5 내지 약 3.0)의 굴절률(n)(633 nm))을 가질 수 있다. 증착 직후의 붕소-탄소 막은 0.1보다 작은, 예를 들어, (예를 들어, 약 0.01 내지 약 0.09; 약 0.04 내지 약 0.06; 대략 0.06 또는 그 보다 작은)의 k 값(k(633 nm에서))을 가질 수 있다. 증착 직후의 붕소-탄소 막은 약 200 내지 약 400 MPa(예를 들어, 약 200 내지 약 350 MPa; 약 210 내지 약 320 MPa; 약 212 GPa)의 탄성률(GPa)을 가질 수 있다. 증착 직후의 붕소-탄소 막은 약 -200 MPa 내지 약 200 MPa(예를 들어, 약 -150 MPa 내지 약 150 MPa; 약 -100 MPa 내지 약 100 MPa)의 응력(MPa)을 가질 수 있다. 증착 직후의 붕소-탄소 막은 1.5 g/cc보다 큰, 예를 들어 대략 1.9 g/cc 또는 그 보다 큰, 예컨대 2.0 g/cc(예를 들어, 약 1.5 g/cc 내지 약 2.5 g/cc; 약 1.5 g/cc 내지 약 2.0 g/cc)의 밀도(g/cc)를 가질 수 있다.
[0045] 도 2는 본 명세서에 기술된 구현예들에 따른 붕소-탄소 막을 증착하기 위한 방법(200)의 일 구현예를 도시하는 프로세스 흐름도이다. 방법(200)은 프로세싱 챔버의 프로세싱 용적 내에 기판을 제공함으로써 동작 210에서 시작된다. 프로세싱 챔버는 도 1에 도시된 프로세싱 챔버(100)일 수 있다. 기판은 도 1에 또한 도시된 기판(190)일 수 있다. 기판(190)의 표면(191)은, 도 3에 도시된 바와 같이, 실질적으로 평탄하다. 대안적으로, 기판(190)은 패터닝된 구조들, 예를 들어, 그 안에 트렌치들, 홀들, 또는 비아들이 형성된 표면을 가질 수 있다. 기판(190)은 또한 원하는 높이에서 그 위에 또는 그 안에 구조가 형성된 실질적으로 평탄한 표면을 가질 수 있다. 기판(190)은 단일체로 예시되어 있지만, 기판(190)은 금속 콘택트들, 트렌치 격리들, 게이트들, 비트라인들, 또는 임의의 다른 인터커넥트 피처들과 같은 반도체 디바이스들을 형성하는 데 사용되는 하나 또는 그 초과의 재료들을 함유할 수 있다고 이해된다. 기판(190)은 반도체 디바이스들을 제조하는 데 이용되는 하나 또는 그 초과의 금속 층들, 하나 또는 그 초과의 유전체 재료들, 반도체 재료, 및 이들의 조합들을 포함할 수 있다. 예를 들어, 기판(190)은 응용에 따라, 산화물 재료, 질화물 재료, 폴리실리콘 재료, 또는 기타 유사한 것을 포함할 수 있다. 메모리 응용을 원하는 일 구현예에서, 기판(190)은 실리콘 기판 재료, 산화물 재료, 및 질화물 재료를 포함할 수 있고, 이들 사이에 샌드위치된 폴리실리콘이 있거나 없을 수 있다.
[0046] 다른 구현예에서는, 기판(190)은 기판(190)의 표면(191) 상에 증착된 복수의 교호하는 산화물 및 질화물 재료들(즉, 산화물-질화물-산화물(ONO))(도시되지 않음)을 포함할 수 있다. 다양한 구현예들에서, 기판(190)은 복수의 교호하는 산화물 및 질화물 재료들, 하나 또는 그 초과의 산화물 또는 질화물 재료들, 폴리실리콘 또는 비결정질 실리콘 재료들, 비결정질 실리콘과 교호하는 산화물들, 폴리실리콘과 교호하는 산화물들, 도핑된 실리콘과 교호하는 도핑되지 않은 실리콘, 도핑된 폴리실리콘과 교호하는 도핑되지 않은 폴리실리콘, 또는 도핑된 비결정질 실리콘과 교호하는 도핑되지 않은 비결정질 실리콘을 포함할 수 있다. 기판(190)은 막 프로세싱이 수행되는 임의의 기판 또는 재료 표면일 수 있다. 예를 들어, 기판(190)은 결정질 실리콘, 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물, 스트레인드 실리콘, 실리콘 게르마늄, 텅스텐, 티타늄 질화물, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들 및 패터닝된 또는 패터닝되지 않은 웨이퍼들, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물들, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 로우 k 유전체들, 및 이들의 조합들과 같은 재료일 수 있다.
[0047] 동작 220에서는, 탄화수소-함유 가스 혼합물이 내부 프로세싱 용적(126) 내로 유동된다. 탄화수소-함유 가스 혼합물은 가스 패널(130)로부터 가스 분배 어셈블리(120)를 통해 내부 프로세싱 용적(126) 내로 유동될 수 있다. 가스 혼합물은 적어도 하나의 탄화수소 화합물을 포함할 수 있다. 가스 혼합물은 불활성 가스, 희석 가스, 질소-함유 가스, 또는 이들의 조합들을 더 포함할 수 있다. 탄화수소는 임의의 액체 또는 가스일 수 있지만, 바람직한 전구체는 재료 계량, 제어 및 챔버로의 전달을 위해 필요한 하드웨어를 단순화하기 위해 실온에서 증기일 것이다.
[0048] 일 구현예에서, 탄소 소스는 선형 탄화수소와 같은 가스의 탄화수소이다. 일 구현예에서, 탄화수소 화합물은 일반식 CxHy를 가지며, 여기서 x는 1과 20 사이의 범위를 갖고 y는 1과 20 사이의 범위를 갖는다. 일 구현예에서, 탄화수소 화합물은 알칸이다. 적합한 탄화수소 화합물들은, 예를 들어, 메탄(CH4), 에탄(C2H6), 프로필렌(C3H6), 프로판(C3H8), 부탄(C4H10) 및 그의 이성체 이소부탄, 펜탄(C5H12), 헥산(C6H14) 및 그의 이성체들인 이소펜탄 및 네오펜탄, 헥산(C6H14) 및 그의 이성체들인 2-메틸펜탄, 3-메틸펜탄, 2,3-디메틸부탄, 및 2,2-디메틸부탄, 또는 이들의 조합들과 같은 알칸들을 포함한다. 추가의 적합한 탄화수소들은, 예를 들어, 아세틸렌, 에틸렌, 프로필렌, 부틸렌 및 그의 이성체들, 펜텐 및 그의 이성질체, 및 기타 유사한 것과 같은 알켄들, 부타디엔, 이소프렌, 펜타디엔, 헥사디엔, 또는 이들의 조합들과 같은 디엔들을 포함한다. 추가의 적합한 탄화수소들은, 예를 들어, 모노플루오로에틸렌, 디플루오로에틸렌, 트리플루오로에틸렌, 테트라플루오로에틸렌, 모노클로로에틸렌, 디클로로에틸렌, 트리클로로에틸렌, 테트라클로로에틸렌, 또는 이들의 조합들과 같은 할로겐화 알켄들을 포함한다. 추가의 적합한 탄화수소들은, 예를 들어, 아세틸렌(C2H2), 프로핀(C3H4), 부틸렌(C4H8), 비닐아세틸렌, 또는 이들의 조합들과 같은 알킨들을 포함한다. 추가의 적합한 탄화수소들은, 예를 들어, 벤젠, 스티렌, 톨루엔, 크실렌, 에틸벤젠, 아세토페논, 메틸 벤조에이트, 페닐 아세테이트, 페놀, 크레졸, 퓨란, 및 기타 유사한 것과 같은 방향족 탄화수소들, 알파-테르피넨, 시멘, 1,1,3,3,-테트라메틸부틸벤젠, t-부틸에테르, t-부틸에틸렌, 메틸-메타크릴레이트, 및 t-부틸푸르푸릴에테르, 화학식 C3H2 및 C5H4를 갖는 화합물들, 모노플루오로벤젠, 디플루오로벤젠, 테트라플루오로벤젠, 헥사플루오로벤젠, 또는 이들의 조합들을 포함하는 할로겐화 방향족 화합물들을 포함한다. 하나의 예에서, C3H6은 더 많은 표면 이동성을 허용하는 더 안정된 중간 종들의 형성으로 인해 바람직하다.
[0049] 원한다면, 특히, 헬륨(He), 아르곤(Ar), 수소(H2), 질소(N2), 암모니아(NH3), 또는 이들의 조합들과 같은 적합한 희석 가스들이 가스 혼합물에 첨가될 수 있다. Ar, He 및 N2는 비결정질 탄소 층의 밀도 및 증착 레이트를 제어하는 데 사용된다. 일부 경우들에서, N2 및/또는 NH3의 첨가는, 아래 논의되는 바와 같이, 비결정질 탄소 층의 수소 비율을 제어하는 데 사용될 수 있다. 대안적으로, 증착 동안에 희석 가스들이 사용되지 않을 수 있다.
[0050] 질소-함유 가스가 탄화수소-함유 가스 혼합물과 함께 프로세싱 챔버(100) 내로 공급될 수 있다. 적합한 질소-함유 화합물들은, 예를 들어, 피리딘, 지방족 아민, 아민들, 니트릴, 암모니아 및 유사 화합물들을 포함한다.
[0051] 아르곤(Ar) 및/또는 헬륨(He)과 같은 불활성 가스가 탄화수소-함유 가스 혼합물과 함께 프로세싱 챔버(100) 내로 공급될 수 있다. 비결정질 탄소 층의 밀도 및 증착 레이트를 제어하기 위해 질소(N2) 및 산화 질소(NO)와 같은 다른 불활성 가스들이 또한 사용될 수도 있다. 게다가, 비결정질 탄소 재료의 속성들을 변경하기 위해 다양한 다른 프로세싱 가스들이 가스 혼합물에 첨가될 수 있다. 일 구현예에서, 프로세싱 가스들은 수소(H2), 암모니아(NH3), 수소(H2)와 질소(N2)의 혼합물, 또는 이들의 조합들과 같은 반응성 가스들일 수 있다. H2 및/또는 NH3의 첨가는 증착된 비결정질 탄소 층의 수소 비율(예를 들어, 탄소 대 수소 비율)을 제어하는 데 사용될 수 있다. 비결정질 탄소 막에 존재하는 수소 비율은 반사율과 같은 층 속성들에 대한 제어를 제공한다.
[0052] 동작 230에서는, 붕소-함유 가스 혼합물이 내부 프로세싱 용적(126) 내로 유동된다. 붕소-함유 가스 혼합물은 가스 패널(130)로부터 가스 분배 어셈블리(120)를 통해 내부 프로세싱 용적(126) 내로 유동될 수 있다. 일 구현예에서, 붕소-함유 가스 혼합물은 붕소-함유 화합물 및 불활성 가스를 포함한다. 붕소-함유 화합물들의 예들은 디보란(B2H6), 트리메틸 붕소(TMB 또는 B(CH3)3), 트리에틸붕소(TEB), 메틸 붕소, 디메틸 붕소, 에틸 붕소, 디에틸 붕소, 오르토-카르보란(C2B10H12) 및 유사 화합물들을 포함한다.
[0053] 일 구현예에서, 총 붕소-함유 가스 혼합물 내의 붕소-함유 화합물의 백분율은 약 2 % 내지 약 25 %(예를 들어, 약 10 % 내지 약 20 %; 약 15 % 내지 약 20 %; 약 2 % 내지 약 15 %; 또는 약 4 % 내지 약 12 %)이다. 예시적인 붕소-함유 가스 혼합물들은 5 % B2H6/ 95 % N2, 5 % B2H6/ 95 % He, 10 % B2H6/ 90 % He, 5 % B2H6/ 95 % Ar, 10 % B2H6/ 90 % Ar, 5 % B2H6/ 95 % H2 또는 20 % B2H6/ 80 % H2를 포함할 수 있다. 상이한 농도의 붕소-함유 가스 혼합물들이 사용될 때, 특정 막 속성들을 달성하는 데 필요한 유량은 그에 따라 변할 수 있다고 생각된다. 예를 들어, 5 % 디보란이 붕소-함유 가스 소스로서 사용되는 구현예들에서, 붕소-함유 가스 혼합물의 유량은 약 5,000 sccm 내지 약 15,000 sccm, 예를 들어, 약 13,000 sccm일 수 있다. 10.5 % 디보란이 붕소-함유 가스 소스로서 사용되는 다른 구현예에서, 붕소-함유 가스 혼합물의 유량은 약 4,000 sccm 내지 약 10,000 sccm, 예를 들어 약 6,000 sccm 내지 약 7,000 sccm일 수 있다. 20 % 디보란이 붕소-함유 가스 소스로서 사용되는 다른 구현예에서, 붕소-함유 가스 혼합물의 유량은 약 100 sccm 내지 약 6,000 sccm, 예를 들어 약 4,000 sccm 내지 약 6,000 sccm일 수 있다.
[0054] 도 2는 동작 250에서 RF 플라즈마를 온 시키기 전에 탄화수소-함유 가스 혼합물 및 붕소-함유 가스 혼합물이 내부 프로세싱 용적(126) 내로 도입되는 일 구현예를 보여준다. 그러한 구현예에서, 탄화수소-함유 가스 혼합물은, 더 긴 시간, 이를테면 약 5초 내지 약 30초, 예를 들어 약 15초 동안 내부 프로세싱 용적(126) 내로 도입될 수 있고, 이러한 시간은 기판의 크기에 따라 달라질 수 있다. 붕소-함유 가스의 도입 전에 탄화수소-함유 가스 혼합물의 유동은 내부 프로세싱 용적(126)의 연속적인 열 및 압력 안정화를 제공하는 것으로 여겨진다. 그 후 붕소-함유 가스 혼합물은 동작 250에서 RF 플라즈마를 스트라이킹하기 전에 약 0.5초 내지 약 5초, 예를 들어 약 1초 내지 약 2초 내부 프로세싱 용적(126) 내로 유동한다(붕소-함유 가스 혼합물이 내부 프로세싱 용적(126)에 도달하기 시작할 수 있을 만큼 유동이 충분히 길기만 하다면 유동 시간은 달라질 수 있다). 동작 230의 프로세스는 동시에, 순차적으로 수행될 수 있거나 동작 220의 프로세스들과 부분적으로 겹칠 수 있다.
[0055] 선택적으로, 동작 240에서, 프로세싱 용적 내의 압력은 미리 정의된 RF-온 지연 시간 기간 동안 안정화된다. 미리 정의된 RF-온 지연 시간 기간은 붕소-함유 가스 혼합물을 프로세싱 용적 내로 도입하는 것과 동작 250에서 플라즈마를 스트라이킹 또는 생성하는 것 사이의 시간 기간으로서 정의되는 고정된 시간 지연이다. 원하는 조건들을 달성하기 위해 임의의 적합한 고정된 시간 지연이 이용될 수 있다. RF-온 지연 시간 기간의 길이는 전형적으로, 붕소-함유 가스 혼합물이 프로세싱 용적 내에서 열 분해 또는 실질적 열 분해를 시작하지 않도록 선택된다. 동작 240의 프로세스는 동시에, 순차적으로 수행될 수 있거나 동작 220 및 동작 230의 프로세스들과 부분적으로 겹칠 수 있다.
[0056] 동작 250에서는, 동작 240의 미리 정의된 RF-온 지연 시간 기간이 만료된 후에, 기판(190) 상에 붕소-탄소 막(304)을 증착하기 위해 내부 프로세싱 용적(126) 내에 RF 플라즈마가 생성된다. 플라즈마는 용량성 또는 유도성 수단에 의해 형성될 수 있고, RF 전력을 전구체 가스 혼합물에 결합시킴으로써 에너자이징될 수 있다. RF 전력은 고주파수 성분 및 저주파수 성분을 갖는 이중 주파수 RF 전력일 수 있다. RF 전력은 전형적으로 약 50 W와 약 2,500 W 사이의 전력 레벨로 인가되고, 그것은 예를 들어, 약 13.56 MHz의 주파수에서의 모든 고주파수 RF 전력일 수 있거나, 예를 들어, 약 300 kHz의 주파수에서의 저주파수 전력 및 고주파수 전력의 혼합일 수 있다. 탄화수소-함유 가스 혼합물과 붕소-함유 가스 혼합물의 유동은 원하는 두께의 붕소-탄소 막(304)에 도달할 때까지 계속될 수 있다.
[0057] 붕소-탄소 막(304)의 두께는 프로세싱의 단계에 따라 가변적이다. 일 구현예에서, 붕소-탄소 막은 약 100 Å과 약 30,000 Å 사이(예를 들어, 약 1,000 Å 내지 약 18,000 Å; 약 100 Å 내지 약 20,000 Å; 약 300 Å 내지 약 5,000 Å; 또는 약 1,000 Å 내지 약 2,000 Å)의 두께로 증착될 수 있다. 선택적으로, 동작 260에서는, 프로세싱 용적의 플라즈마 퍼지가 수행된다. 퍼지 가스가 퍼지 가스 소스로부터 프로세싱 챔버(100) 내로 유동할 수 있다. 가스 분배 어셈블리(120)와 지지 페디스털(150)이 에너자이징되어 퍼지 가스 플라즈마를 생성한다. 프로세싱 챔버(100)에서 사용될 수 있는 퍼지 가스들은 NH3, N2, N2O, H3, Ar, He 및 다른 적합한 플라즈마 퍼지 가스들을 포함한다. 퍼지 프로세스 동안, 열과 압력은 프로세싱 챔버(100)에서 유지될 수 있다. 플라즈마 퍼지는 추가의 증착들을 위해 노출된 층의 표면을 컨디셔닝한다. 컨디셔닝된 표면은 층들 간의 매끄러운 계면과 층들 간의 더 나은 접착력뿐만 아니라, 더 나은 입자 제어를 야기한다. 일부 실시예들에서는, 더 나은 층 접합을 위해 더 거친 계면이 바람직할 수 있고 상이한 또는 추가의 플라즈마 퍼지 프로세스가 수행될 수 있다. 플라즈마 퍼지가 완료된 후에, 가스 분배 어셈블리(120)와 지지 페디스털(150)로의 에너지는 턴 오프될 수 있고, 가스 퍼지 소스로부터의 가스 퍼지가 모든 가스 오염물질들을 제거하기 위해 프로세싱 챔버(100) 내로 유동한다. 일 구현예에서, 전구체 가스의 하나 또는 그 초과의 성분들은 퍼지 프로세스 동안 정지된다. 예를 들어, 프로세스 가스가 디보란, 프로필렌 및 He의 혼합물을 포함한다면, 퍼지 가스는 He만을 포함할 수 있고 디보란과 프로필렌의 유동은 차단된다. 다른 구현예들에서는, 상이한 퍼지 가스 또는 퍼지 가스들이 사용될 수 있다.
[0058] 동작 260의 프로세스 후에 기판(190)의 추가의 프로세싱이 수행될 수 있다.
[0059] 붕소-탄소 막(304)은 표준 포토레지스트 패터닝 기법들을 이용하여 패터닝될 수 있다. 패터닝된 포토레지스트(도시되지 않음)가 붕소-탄소 막(304) 위에 형성될 수 있다. 붕소-탄소 막(304)은 패터닝된 포토레지스트 층과 대응하는 패턴으로 에칭될 수 있고 후속하여 그 패턴이 기판(190) 내로 에칭될 수 있다. 붕소-탄소 막(304)의 에칭된 부분들 내로 재료가 증착될 수 있다. 붕소-탄소 막(304)은 과산화수소와 황산을 포함하는 용액을 사용하여 제거될 수 있다. 과산화수소와 황산을 포함하는 하나의 예시적인 용액은 피라냐(Piranha) 용액 또는 피라냐 에치(Piranha etch)로 공지되어 있다. 붕소-탄소 막(304)은 또한 산소 및 할로겐들(예를 들어, 불소 또는 염소), 예를 들어, Cl2/O2, CF4/O2, Cl2/O2/CF4를 함유하는 에칭 화학물질들을 사용하여 제거될 수도 있다. 붕소-탄소 막(304)은 화학적 기계적 연마(CMP) 프로세스에 의해 제거될 수도 있다.
[0060] 탄화수소-함유 가스 혼합물을 프로세싱 용적 내로 유동시키는 것(동작 220), 붕소-함유 가스 혼합물을 프로세싱 용적 내로 유동시키는 것(동작 230), 선택적으로 프로세싱 용적 내의 압력을 안정화시키는 것(동작 240) 및 붕소-탄소 막을 증착하기 위해 프로세싱 용적 내에 RF 플라즈마를 생성하는 것(동작 250)은 미리 결정된 두께가 달성될 때까지 반복될 수 있다.
[0061] 예들:
[0062] 다음의 비제한적인 예들은 본 명세서에 기술된 구현예들을 추가로 예시하기 위해 제공된다. 그러나, 이 예들은 모두 포괄하려고 하는 것이 아니고 본 명세서에 기술된 구현예들의 범위를 제한하려고 하는 것이 아니다. 다양한 붕소, 질소 및 탄소 함유 막들의 플라즈마 CVD 보조 증착을 테스트하고 그들의 광학적 속성들(n/k/두께), 기계적 속성들(응력/탄성률/경도/변형율-에너지), 에칭 선택비 및 조성/형태학적 거동들(B, H, C 함량들)에 대해 평가하였다. 온도, 압력, 붕소 전구체 유량, 붕소 전구체의 H2 희석, 및 RF 전력을 포함하는 상이한 막 증착 파라미터들의 체계적인 분석에 기초하여 높은 온도들에서, 붕소-탄소 막들의 흡광 계수(k) 및 응력은 낮은 온도들에서보다 현저히 더 높은 것으로 결정되었다.
[0063] 표 1은 본 명세서에 기술된 구현예들에 따라 형성된 높은 에칭 선택비 붕소-탄소 막의 속성들과 비교하여 공지된 기법들을 이용하여 형성된 비결정질 탄소 막(APF) 기준 및 붕소-탄소 막에 대한 붕소-탄소 막 속성들을 나타낸다. 막들 내의 붕소 혼입의 백분율은 다음과 같이 계산된다: ((B/(B+C)%).
[0064] 도 4a는 본 명세서에 기술된 구현예들에 따른, 붕소-탄소 막들의 증착 레이트에 대한 온도(400 ℃, 480 ℃, 550 ℃, 650 ℃) 및 붕소 혼입(12 %, 24 %, 36 %, 48 %, 60 %)의 영향을 예시하는 플롯이다. y축은 증착 레이트(Å/minute)를 나타낸다. x축은 최종 붕소-탄소 막 내의 붕소의 백분율을 나타낸다. 도 4a에 예시된 바와 같이, 디보란의 유량이 증가함에 따라, 붕소-탄소 막의 증착 레이트 또한 증가한다.
[0065] 도 4b는 본 명세서에 기술된 구현예들에 따른, 붕소-탄소 막들의 흡광 계수(k)에 대한 온도(400 ℃, 480 ℃, 550 ℃, 650 ℃) 및 붕소 혼입(12 %, 24 %, 36 %, 48 %, 60 %)의 영향을 예시하는 플롯이다. y축은 흡광 계수(k)를 나타낸다. x축은 최종 붕소-탄소 막 내의 붕소의 백분율을 나타낸다. 도 4b에 예시된 바와 같이, 디보란의 유량이 증가함에 따라, 붕소-탄소 막의 흡광 계수(k)는 감소한다. 도 4b에 추가로 예시된 바와 같이, 온도가 증가함에 따라, 붕소-탄소 막의 흡광 계수(k)는 증가한다.
[0066] 도 4c는 본 명세서에 기술된 구현예들에 따른, 붕소-탄소 막들의 막 밀도(g/cc)에 대한 온도(400 ℃, 480 ℃, 550 ℃, 650 ℃) 및 붕소 혼입(12 %, 24 %, 36 %, 48 %, 60 %)의 영향을 예시하는 플롯이다. 도 4c에 예시된 바와 같이, 디보란의 유량이 증가함에 따라, 붕소-탄소 막의 밀도는 일반적으로 일정하게 유지된다. 도 4c에 추가로 예시된 바와 같이, 온도가 증가함에 따라, 붕소-탄소 막의 밀도는 증가한다.
[0067] 도 4d는 본 명세서에 기술된 구현예들에 따른, 붕소-탄소 막들의 응력(MPa)에 대한 온도(400 ℃, 480 ℃, 550 ℃, 650 ℃) 및 붕소 혼입(12 %, 24 %, 36 %, 48 %, 60 %)의 영향을 예시하는 플롯이다. 도 4d에 예시된 바와 같이, 디보란의 유량이 증가함에 따라, 붕소-탄소 막의 응력(MPa)은 감소한다. 도 4d에 추가로 예시된 바와 같이, 온도가 증가함에 따라, 붕소-탄소 막의 응력은 증가한다.
[0068] 도 5a는 본 명세서에 기술된 구현예들에 따른, 붕소-탄소 막들의 응력 및 막 밀도에 대한 압력의 영향을 예시하는 플롯이다. 도 5b는 본 명세서에 기술된 구현예들에 따른, 붕소-탄소 막들의 응력 및 막 밀도에 대한 간격의 영향을 예시하는 플롯이다. 도 5a 및 도 5b는 붕소-탄소 막의 응력/밀도를 조절하기 위한 압력 및 간격의 사용을 예시한다. 도 5a 및 도 5b에서의 발견에 기초하여 상이한 프로세싱 온도들에서 -100 MPa 미만의 응력을 목표로 하였다.
[0069] 도 6은 섭씨 550도 및 섭씨 650도의 온도들에서 산화물 에칭 선택비 및 텅스텐 에칭 선택비(산화물 또는 텅스텐)에 대한 붕소 퍼센트(60 %, 52 %, 및 20 %)의 영향을 예시하는 막대 그래프이다. 도 6에 예시된 바와 같이, 에칭 선택비는 온도에 따라 증가하지만 유사한 증착 조건들에 대해 응력도 증가한다. 도 6에 추가로 예시된 바와 같이, 붕소 퍼센트가 감소함에 따라, 텅스텐-에칭 선택비는 증가하지만 산화물 에칭 선택비는 감소한다.
[0070] 도 7a는 본 명세서에 기술된 구현예들에 따른, 붕소-탄소 막들의 산화물 에칭 선택비 및 응력에 대한 온도의 영향을 예시하는 막대 그래프이다. 도 7b는 본 명세서에 기술된 구현예들에 따른, 붕소-탄소 막들의 산화물 에칭 선택비 및 응력에 대한 질소 유량의 영향을 예시하는 막대 그래프이다. 도 7c는 본 명세서에 기술된 구현예들에 따른, 붕소-탄소 막들의 텅스텐/실리콘 에칭 선택비 및 응력에 대한 온도의 영향을 예시하는 막대 그래프이다. 도 7d는 본 명세서에 기술된 구현예들에 따른, 붕소-탄소 막들의 텅스텐/실리콘 에칭 선택비 및 응력에 대한 질소 유량의 영향을 예시하는 막대 그래프이다. 응력을 조절하고 W/Si-에칭 선택비를 개선하기 위한 접근법에서, 질소가 풍부한 붕소-탄소-질화물(BCN) 하드마스크 막들이 평가되었다. 탄소 및 붕소 전구체들과 동시에 N2 가스의 상이한 유량들을 사용함으로써 질소(N2) 혼입이 달성되었다. 가스 증착 혼합물 내로 질소(N2)를 도입함으로써 증착 레이트의 현저한 증가가 달성되었다. 그러나, 질소 계 부산물들은 더 높은 휘발성을 가지며, 따라서 최종 붕소-탄소 막에서 N %의 혼입은 5 % 미만이다. 그러므로, 에칭 선택비 개선이 실현되지 않을 수 있다. FTIR 결과들에 기초하여 B-C 피크들이 C=C 또는 C-N 피크들 쪽으로 이동하는 것을 관찰하였고, 이는 W/Si 선택비를 향상시켜야 한다. 그러나, 더 낮은 질소 혼입 및 더 높은 증착 레이트들은 B-C 매트릭스(비결정질 형태)의 붕괴를 야기하여, 산화물 및 W/Si 조건들 둘 다에 대해 에칭 선택비를 감소시킨다.
[0071] 전술한 내용들이 본 개시내용의 구현예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 구현예가 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (20)

  1. 반도체 디바이스로서,
    기판 위에 증착된 붕소-탄소 비결정질(amorphous) 막을 포함하고,
    상기 붕소-탄소 비결정질 막은, 약 30 내지 약 70 원자 백분율의 붕소, 약 30 내지 약 70 원자 백분율의 탄소, 및 약 10 내지 약 25 원자 백분율의 수소를 포함하며,
    상기 붕소-탄소 비결정질 막은 약 200 내지 약 400 GPa의 탄성률(GPa)을 갖고, 상기 붕소-탄소 비결정질 막은 약 -100 MPa 내지 약 100 MPa의 응력(MPa)을 가지며, 2.5보다 큰 굴절률(n)을 갖는,
    반도체 디바이스.
  2. 제 1 항에 있어서,
    상기 붕소-탄소 비결정질 막은 5 원자 백분율 미만의 질소를 갖는,
    반도체 디바이스.
  3. 제 1 항에 있어서,
    상기 붕소-탄소 비결정질 막은 약 20 원자 백분율 미만의 수소를 함유하는,
    반도체 디바이스.
  4. 제 1 항에 있어서,
    상기 붕소-탄소 비결정질 막 위에 형성되는 패터닝된 포토레지스트를 더 포함하는,
    반도체 디바이스.
  5. 제 1 항에 있어서,
    상기 응력은 0 MPa 미만인,
    반도체 디바이스.
  6. 제 5 항에 있어서,
    상기 응력은 약 -75 MPa인,
    반도체 디바이스.
  7. 제 1 항에 있어서,
    상기 붕소-탄소 비결정질 막은 1.5 g/cc보다 큰 밀도(g/cc)를 갖는,
    반도체 디바이스.
  8. 제 7 항에 있어서,
    상기 붕소-탄소 비결정질 막은 적어도 55 원자 백분율의 붕소를 갖는,
    반도체 디바이스.
  9. 반도체 디바이스로서,
    수소화(hydrogenated) 붕소-탄소 비결정질 막(BxCyHz)을 포함하고,
    x는 약 30 내지 약 70 원자 백분율, y는 약 30 내지 약 70 원자 백분율, 및 z는 약 10 내지 약 25 원자 백분율이며,
    상기 수소화 붕소-탄소 비결정질 막은 약 200 내지 약 400 GPa의 탄성률을 갖고, 상기 수소화 붕소-탄소 비결정질 막은 약 -100 MPa 내지 약 100 MPa의 응력을 가지며, 2.5보다 큰 굴절률(n)을 갖는,
    반도체 디바이스.
  10. 제 9 항에 있어서,
    상기 응력은 0 MPa 미만인,
    반도체 디바이스.
  11. 제 9 항에 있어서,
    상기 응력은 약 -75 MPa인,
    반도체 디바이스.
  12. 제 9 항에 있어서,
    상기 수소화 붕소-탄소 비결정질 막은 1.5 g/cc보다 큰 밀도(g/cc)를 갖는,
    반도체 디바이스.
  13. 제 9 항에 있어서,
    상기 수소화 붕소-탄소 비결정질 막은 적어도 55 원자 백분율의 붕소를 갖는,
    반도체 디바이스.
  14. 제 9 항에 있어서,
    상기 수소화 붕소-탄소 비결정질 막은 약 20 원자 백분율 미만의 수소를 함유하는,
    반도체 디바이스.
  15. 제 9 항에 있어서,
    상기 수소화 붕소-탄소 비결정질 막 위에 형성되는 패터닝된 포토레지스트를 더 포함하는,
    반도체 디바이스.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020187036743A 2015-10-09 2016-08-11 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들 KR102662427B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247013954A KR20240064735A (ko) 2015-10-09 2016-08-11 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562239645P 2015-10-09 2015-10-09
US62/239,645 2015-10-09
US15/233,351 US10418243B2 (en) 2015-10-09 2016-08-10 Ultra-high modulus and etch selectivity boron-carbon hardmask films
US15/233,351 2016-08-10
PCT/US2016/046548 WO2017062100A1 (en) 2015-10-09 2016-08-11 Ultra-high modulus and etch selectivity boron-carbon hardmask films
KR1020187013101A KR102659258B1 (ko) 2015-10-09 2016-08-11 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020187013101A Division KR102659258B1 (ko) 2015-10-09 2016-08-11 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247013954A Division KR20240064735A (ko) 2015-10-09 2016-08-11 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들

Publications (2)

Publication Number Publication Date
KR20180137044A KR20180137044A (ko) 2018-12-26
KR102662427B1 true KR102662427B1 (ko) 2024-04-30

Family

ID=58488337

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020247013954A KR20240064735A (ko) 2015-10-09 2016-08-11 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들
KR1020187036743A KR102662427B1 (ko) 2015-10-09 2016-08-11 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들
KR1020187013101A KR102659258B1 (ko) 2015-10-09 2016-08-11 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020247013954A KR20240064735A (ko) 2015-10-09 2016-08-11 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020187013101A KR102659258B1 (ko) 2015-10-09 2016-08-11 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들

Country Status (5)

Country Link
US (4) US10418243B2 (ko)
KR (3) KR20240064735A (ko)
CN (2) CN108140545B (ko)
TW (2) TWI702654B (ko)
WO (1) WO2017062100A1 (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10410872B2 (en) 2016-09-13 2019-09-10 Applied Materials, Inc. Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10593543B2 (en) 2017-06-05 2020-03-17 Applied Materials, Inc. Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
WO2018226370A1 (en) * 2017-06-08 2018-12-13 Applied Materials, Inc. High-density low temperature carbon films for hardmask and other patterning applications
CN117293018A (zh) 2017-07-24 2023-12-26 应用材料公司 改善在氧化硅上的超薄非晶硅膜的连续性的预处理方法
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
SG11202005150YA (en) 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
US10354875B1 (en) * 2018-01-08 2019-07-16 Varian Semiconductor Equipment Associates, Inc. Techniques for improved removal of sacrificial mask
JP7005367B2 (ja) * 2018-02-05 2022-02-04 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
JP7049883B2 (ja) * 2018-03-28 2022-04-07 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
KR20200130490A (ko) * 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들
US10985009B2 (en) * 2018-04-27 2021-04-20 Applied Materials, Inc. Methods to deposit flowable (gap-fill) carbon containing films using various plasma sources
JP2021523558A (ja) 2018-05-03 2021-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
US10840087B2 (en) * 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2020031224A1 (ja) 2018-08-06 2020-02-13 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマアッシング装置
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
WO2020086241A1 (en) 2018-10-26 2020-04-30 Applied Materials, Inc. High density carbon films for patterning applications
US11817312B2 (en) 2018-10-29 2023-11-14 Applied Materials, Inc. Delayed pulsing for plasma processing of wafers
SG11202108054WA (en) * 2019-02-14 2021-08-30 Applied Materials Inc Method of processing a substrate
WO2020242799A1 (en) 2019-05-24 2020-12-03 Applied Materials, Inc. Substrate processing chamber
JP2022538455A (ja) * 2019-07-01 2022-09-02 アプライド マテリアルズ インコーポレイテッド プラズマカップリング材料の最適化による膜特性の変調
US11276573B2 (en) * 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
CN115605978A (zh) * 2020-03-26 2023-01-13 应用材料公司(Us) 硼和碳膜的催化形成
US11322352B2 (en) * 2020-04-20 2022-05-03 Applied Materials, Inc. Nitrogen-doped carbon hardmask films
WO2021255812A1 (ja) 2020-06-16 2021-12-23 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110133313A1 (en) * 2009-12-04 2011-06-09 Vishwanathan Rangarajan Hardmask materials

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6001461A (en) * 1992-08-27 1999-12-14 Kabushiki Kaisha Toshiba Electronic parts and manufacturing method thereof
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6261726B1 (en) * 1999-12-06 2001-07-17 International Business Machines Corporation Projection electron-beam lithography masks using advanced materials and membrane size
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
JP3931229B2 (ja) * 2002-09-13 2007-06-13 独立行政法人物質・材料研究機構 酸化炭素薄膜および酸化窒化炭素薄膜とこれら酸化炭素系薄膜の製造方法
US6815332B2 (en) * 2002-10-30 2004-11-09 Asm Japan K.K. Method for forming integrated dielectric layers
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7105431B2 (en) 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7129180B2 (en) 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
WO2006052370A2 (en) * 2004-11-03 2006-05-18 Applied Materials, Inc. Diamond like carbon films
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
FR2896807B1 (fr) * 2006-01-30 2008-03-14 Eads Ccr Groupement D Interet Structure multicouche mince, piece la comprenant et son procede de depot
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20070286954A1 (en) 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
KR20090108721A (ko) 2007-01-29 2009-10-16 어플라이드 머티어리얼스, 인코포레이티드 신규한 공기 갭 통합 방법
CN101743631B (zh) * 2007-07-13 2012-12-26 应用材料公司 硼衍生的材料的沉积方法
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US20110244142A1 (en) * 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
CN101893789B (zh) * 2010-07-07 2012-08-29 深圳超多维光电子有限公司 配向层材料、配向层制造工艺及显示面板
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US20120258261A1 (en) * 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US9299581B2 (en) * 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP5699980B2 (ja) * 2011-06-16 2015-04-15 東京エレクトロン株式会社 成膜方法及び成膜装置
US8476743B2 (en) 2011-09-09 2013-07-02 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices
WO2013061398A1 (ja) * 2011-10-24 2013-05-02 株式会社ユーテック CxNyHz膜、成膜方法、磁気記録媒体およびその製造方法
KR102025574B1 (ko) 2013-01-18 2019-09-26 삼성전자 주식회사 샘플 가스 공급 장치 및 방법
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9604885B2 (en) * 2013-01-25 2017-03-28 University Of Florida Research Foundation, Inc. Synthesis and processing of ultra high hardness boron carbide
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US20140273461A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
KR102311036B1 (ko) 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110133313A1 (en) * 2009-12-04 2011-06-09 Vishwanathan Rangarajan Hardmask materials

Also Published As

Publication number Publication date
US11728168B2 (en) 2023-08-15
TWI702654B (zh) 2020-08-21
US10418243B2 (en) 2019-09-17
US20230317455A1 (en) 2023-10-05
CN108140545A (zh) 2018-06-08
TWI675421B (zh) 2019-10-21
WO2017062100A1 (en) 2017-04-13
TW201730962A (zh) 2017-09-01
CN108140545B (zh) 2022-08-09
CN109637926A (zh) 2019-04-16
KR20240064735A (ko) 2024-05-13
CN109637926B (zh) 2023-05-12
KR102659258B1 (ko) 2024-04-18
US20170103893A1 (en) 2017-04-13
US20190122889A1 (en) 2019-04-25
KR20180137044A (ko) 2018-12-26
TW201929089A (zh) 2019-07-16
US10971364B2 (en) 2021-04-06
KR20180053766A (ko) 2018-05-23
US20210225650A1 (en) 2021-07-22

Similar Documents

Publication Publication Date Title
KR102662427B1 (ko) 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들
KR102604084B1 (ko) 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들
US8536065B2 (en) Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
WO2017034687A1 (en) Methods to improve in-film particle performance of amorphous born-carbon hardmask process in pecvd system
US11664226B2 (en) Methods for producing high-density carbon films for hardmasks and other patterning applications
US20200266064A1 (en) Method of processing a substrate
US11664214B2 (en) Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
JP2023532883A (ja) ハードマスク及びその他のパターニング応用のための高密度窒素ドープ炭素膜を製造するための方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant