JP2022538455A - プラズマカップリング材料の最適化による膜特性の変調 - Google Patents

プラズマカップリング材料の最適化による膜特性の変調 Download PDF

Info

Publication number
JP2022538455A
JP2022538455A JP2021577978A JP2021577978A JP2022538455A JP 2022538455 A JP2022538455 A JP 2022538455A JP 2021577978 A JP2021577978 A JP 2021577978A JP 2021577978 A JP2021577978 A JP 2021577978A JP 2022538455 A JP2022538455 A JP 2022538455A
Authority
JP
Japan
Prior art keywords
substrate
film
edge ring
hardmask
hardmask film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021577978A
Other languages
English (en)
Inventor
エスワラナンド ベンカタサブラマニアン,
エドワード エル. ヘイウッド,
サミュエル イー. ゴットハイム,
プラミット マンナ,
キーン エヌ. チュック,
アダム フィッチバック,
アビジット ビー. マリック,
ティモシー ジェー. フランクリン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022538455A publication Critical patent/JP2022538455A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Abstract

本開示の実施形態は、概して、半導体デバイスを形成するための基板処理チャンバ、及びその構成要素に関する。処理チャンバは、基板支持体を備え、基板支持体の周囲にエッジリングが配置される。エッジリングは、石英、シリコン、架橋ポリスチレン及びジビニルベンゼン、ポリエーテルエーテルケトン、Al2O3、及びAINで構成される群から選択された材料を含む。エッジリングの材料は、処理チャンバの基板に堆積されたハードマスク膜の特性を変調するように選択される。そのため、チャンバへのRF電力を上げることなく、処理チャンバにおいて所望の膜特性を有するハードマスク膜を堆積させることができる。【選択図】図5

Description

[0001]本開示の実施形態は、概して、半導体デバイスの製造に用いられる装置及び方法に関する。より具体的には、本開示の実施形態は、半導体デバイスを形成するための基板処理チャンバ、及びその構成要素に関する。
[0002]集積回路は、1つのチップに数百万個のトランジスタ、キャパシタ、及び抵抗器を含み得る複雑なデバイスに進化している。チップ設計の進化には、継続的な回路の高速化と回路の高密度化とが伴う。回路の高速化及び高密度化の要求に準じて、上記集積回路を製造するために使用する材料に対する要求が生じている。特に、集積回路部品の寸法がサブミクロンスケールまで小さくなると、その部品から適切な電気的性能を得るために、低抵抗率の導電性材料や低誘電率の絶縁材料が使用される傾向にある。
[0003]集積回路の高密度化の要求により、集積回路部品の製造に用いられるプロセスシーケンスに対する要求も生じている。例えば、従来のフォトリソグラフィ技法を用いたプロセスシーケンスでは、基板に配置された材料層のスタックの上にエネルギー感応性レジストの層が形成される。エネルギー感応性レジスト層は、フォトレジストマスクを形成するためのパターンの画像に露光される。その後、マスクパターンは、エッチングプロセスを用いて、スタックの1又は複数の材料層に転写される。エッチングプロセスで使用される化学エッチング液は、エネルギー感応性レジストのマスクよりもスタックの材料層に対するエッチング選択性が高くなるように選択される。すなわち、化学エッチング液は、エネルギー感応性レジストよりもはるかに速い速度で、材料スタックの1又は複数の層をエッチングする。スタックの1又は複数の材料層に対するエッチング選択性がレジストに対するよりも高いことで、パターン転写が完了する前にエネルギー感応性レジストが消費されるのが防止される。
[0004]パターン寸法が小さくなると、パターン解像度を制御するために、それに応じてエネルギー感応性レジストの厚さも小さくなる。しかし、このような薄いレジスト層では、パターン転写プロセス中に化学エッチング液の影響を受けるため、下位の材料層をマスクするのに不十分であり得る。そこで、パターン転写を容易にするために、化学エッチング液に対する耐性が強いことから、エネルギー感応性レジスト層と下位の材料層との間にハードマスクと呼ばれる中間層(酸窒化ケイ素、炭化ケイ素、又は炭素膜等)がしばしば用いられる。高いエッチング選択性と高い堆積速度を併せ持つハードマスク材料が用いられることが多い。臨界寸法(CD)が小さくなると、現在のハードマスク材料は、下位の材料(例えば、酸化物や窒化物)に対して望ましいエッチング選択性を持たず、堆積が困難な場合が多い。更に、ハードマスクの特性を変調するためには、チャンバに印加するRF電力を上げる必要があり、膜弾性率等のある膜特性を向上させる一方で、膜応力等の別の特性を低下させることがよくある。
[0005]従って、当技術分野では、半導体デバイスの製造方法及び装置の改良が求められている。
[0006]本開示の実施形態は、概して、半導体デバイスを形成するための基板処理チャンバ、及びその構成要素に関する。処理チャンバは、基板支持体を備え、基板支持体の周囲にエッジリングが配置される。エッジリングは、石英、シリコン、架橋ポリスチレン及びジビニルベンゼン、ポリエーテルエーテルケトン、Al、及びAINで構成される群から選択された材料を含む。エッジリングの材料は、処理チャンバの基板上に堆積されたハードマスク膜の特性を変調するように選択される。そのため、チャンバへのRF電力を上げることなく、処理チャンバにおいて所望の膜特性を有するハードマスク膜を堆積させることができる。
[0007]一実施形態では、1又は複数のハードマスク膜の特性を変調する方法は、第1の基板上に第1のハードマスク膜を堆積させ、第1の基板を第1のRF電力で処理することと、第1のハードマスク膜の1又は複数の膜特性を測定することと、基板支持体から第1の基板を取り外すことと、基板支持体の第1のエッジリングを第2のエッジリングと交換することであって、第2のエッジリングは、石英、シリコン、架橋ポリスチレン及びジビニルベンゼン、ポリエーテルエーテルケトン、Al、及びAINで構成される群から選択される材料を含む、基板支持体の第1のエッジリングを第2のエッジリングと交換することと、基板支持体上に第2の基板を配置することと、第2の基板上に第2のハードマスク膜を堆積させ、第2の基板を第1のRF電力で処理することと、第2のハードマスク膜の1又は複数の膜特性を測定することとを含む。
[0008]別の実施形態では、1又は複数のハードマスク膜の特性を変調する方法は、第1の基板上に第1のハードマスク膜を堆積させ、第1の基板を第1のRF電力で処理することと、第1のハードマスク膜の1又は複数の膜特性を測定することであって、1又は複数の膜特性は弾性率及び応力を含む、第1のハードマスク膜の1又は複数の膜特性を測定することと、基板支持体から第1の基板を取り外すことと、基板支持体の第1のエッジリングを第2のエッジリングと交換することであって、第1のエッジリングは第2のエッジリングの第2の材料とは異なる第1の材料を含む、基板支持体の第1のエッジリングを第2のエッジリングと交換することと、基板支持体上に第2の基板を配置することと、第2の基板上に第2のハードマスク膜を堆積させ、第2の基板を第1のRF電力で処理することであって、第2のエッジリングの第2の材料は、第1のハードマスク膜の測定された1又は複数の膜特性に基づいて第2のハードマスク膜の少なくとも1つの膜特性を調整するように選択される、第2の基板に第2のハードマスク膜を堆積させ、第2の基板を第1のRF電力で処理することとを含む。
[0009]更に別の実施形態では、非一過性コンピュータ可読媒体は、システムに、第1の基板上に第1のハードマスク膜を堆積させ、第1の基板を第1のRF電力で処理することと、第1のハードマスク膜の1又は複数の膜特性を測定することと、基板支持体から第1の基板を取り外すことと、基板支持体の第1のエッジリングを第2のエッジリングと交換することであって、第2のエッジリングは、石英、シリコン、架橋ポリスチレン及びジビニルベンゼン、ポリエーテルエーテルケトン、Al、及びAINで構成される群から選択される材料を含む、基板支持体の第1のエッジリングを第2のエッジリングと交換することと、基板支持体上に第2の基板を配置することと、第2の基板上に第2のハードマスク膜を堆積させ、第2の基板を第1のRF電力で処理することと、第2のハードマスク膜の1又は複数の膜特性を測定することとを行わせるように構成された命令を有する。
[0010]上述した本開示の特徴を詳細に理解できるように、一部が添付の図面に例示されている実施形態を参照しながら、上記に要約した本開示をより具体的に説明する。しかし、添付の図面は例示的な実施形態を単に示すものであり、したがって、その範囲を限定するものと見なすべきではなく、他の等しく有効な実施形態も許容しうることに留意されたい。
例示的な処理チャンバの概略側面断面図である。 図1の処理チャンバを約90度回転させた概略側面図である。 処理チャンバの概略断面図である。 基板支持体の一実施形態の概略断面図である。 一実施形態に係る、カーボンハードマスク膜の特性を変調するためにエッジリングを用いる方法を示す図である。
[0016]理解を容易にするために、可能な限り、図面に共通の同一要素を示すのに同一の参照番号を使用している。一実施形態の要素及び特徴は、更なる詳述なしに他の実施形態に有益に組み込まれ得ると考えられる。
[0017]本開示の実施形態は、概して、半導体デバイスを形成するための基板処理チャンバ、及びその構成要素に関する。処理チャンバは、基板支持体を備え、基板支持体の周囲にエッジリングが配置される。エッジリングは、石英、シリコン、架橋ポリスチレン及びジビニルベンゼン、ポリエーテルエーテルケトン、Al、及びAINで構成される群から選択された材料を含む。エッジリングの材料は、処理チャンバの基板上に堆積されたハードマスク膜の特性を変調するように選択される。そのため、チャンバへのRF電力を上げることなく、処理チャンバにおいて所望の膜特性を有するハードマスク膜を堆積させることができる。
[0018]本開示の実施形態は、電子デバイスの製造における基板処理に利用される基板処理チャンバに関する。基板処理には、基板上の電子デバイスを製造するために使用される堆積プロセス、エッチングプロセス、並びに他の低圧プロセス、プラズマプロセス、熱プロセスが含まれる。本開示の例示的な態様から利益を得るために適合され得る処理チャンバ及び/又はシステムの例は、カリフォルニア州サンタクララに所在のアプライドマテリアルズ社から市販されているProducer(登録商標)APF(商標)PECVDシステムである。他の製造業者からのものを含む他の処理チャンバ及び/又は処理プラットフォームが、本開示の態様から利益を得るために適合され得ると考えられる。
[0019]本明細書に開示される堆積チャンバの実施形態は、メモリデバイスの製造、特に、メモリデバイスの製造中に利用されるハードマスクの堆積に利用され得る。現在のメモリデバイスは、電圧を印加することなく、非常に長期間にわたって記憶データを保持することができ、そのようなメモリデバイスの読み出し速度は比較的高い。また、記憶データの消去及びメモリデバイスへのデータの書き換えも比較的容易である。このため、メモリデバイスは、マイクロコンピュータ、及び自動制御システム等に広く用いられている。メモリデバイスのビット密度を高め、ビット当たりのコストを下げるために、3次元NAND(three-dimensional not AND)メモリデバイスが開発されている。また、DRAM(dynamic random access memory)、EM(expanded memory)、及びReRAM(resistive random access memory)等のメモリデバイス、並びにそれらを形成するための先進型ハードマスク材料も開発されており、半導体産業の更なる発展を促している。
[0020]3次元NAND技術では、メモリセル層数の増加に伴うコストダウンのため、縦型ゲート3次元メモリセルが検討されている。酸化物/シリコン層、酸化物/窒化物層のスタックは、材料集積の利点から有用だが、メモリセル層数の増加に伴い、層の厚さが限定要因となる。そのため、メモリセル層の厚さを薄くすることが注目されているが、酸化物の品質(すなわち、降伏電圧)、シリコンの抵抗率、高アスペクト比エッチング等の問題は、層の厚さを薄くしても解決されることはない。
[0021]図1は、堆積プロセスを実施するのに適した例示的な処理チャンバ100の概略側面断面図である。一実施形態では、処理チャンバ100は、ハードマスク膜、例えばアモルファスカーボンハードマスク膜等の基板上に高度なパターニング膜を堆積させるように構成され得る。
[0022]処理チャンバ100は、リッドアセンブリ105、チャンバ本体192上に配置されたスペーサ110、基板支持体115、システムコントローラ101、及び可変圧力システム120を含む。リッドアセンブリ105は、リッドプレート125と、熱交換器130とを含む。図示の実施形態では、リッドアセンブリ105はまた、シャワーヘッド135を含む。しかしながら、他の実施形態では、リッドアセンブリ105は、凹型又はドーム型のガス導入プレートを含む。
[0023]リッドアセンブリ105は、処理ガス源140に結合される。処理ガス源140は、基板支持体115に支持された基板145に膜を形成するための前駆体ガスを含む。一例として、処理ガス源140は、中でもとりわけ、炭素含有ガス、水素含有ガス、ヘリウム等の前駆体ガスを含む。具体的な例では、炭素含有ガスは、アセチレン(C)を含む。処理ガス源140は、リッドアセンブリ105に配置されたプレナム190に前駆体ガスを供給する。リッドアセンブリ105は、処理ガス源140からプレナム190に前駆体ガスを導くための1又は複数のチャネルを含む。前駆体ガスは、プレナムからシャワーヘッド135を通って処理領域160に流れる。
[0024]また、リッドアセンブリ105は、オプションの遠隔プラズマ源150に結合される。遠隔プラズマ源150は、リッドアセンブリ105と基板145との間のスペーサ110の内部に形成された処理領域160に洗浄ガスを供給するための洗浄ガス源155に結合される。一実施例では、洗浄ガスは、リッドアセンブリ105を軸方向に貫通して形成された中央導管191を通して供給される。別の実施例では、洗浄ガスは、前駆体ガスを導くのと同じチャネルを通して供給される。例示的な洗浄ガスは、酸素及び/又はオゾン等の酸素含有ガス、並びにNF等のフッ素含有ガス、又はそれらの組合せを含む。
[0025]遠隔プラズマ源150に加えて、又はその代替として、リッドアセンブリ105はまた、第1又は上部の無線周波数(RF)電源165に結合される。第1のRF電源165は、洗浄ガスから生成されたプラズマ等のプラズマの維持又は生成を容易にする。一実施例では、遠隔プラズマ源150は省略され、洗浄ガスは、第1のRF電源165を介してインシトゥでプラズマにイオン化される。基板支持体115は、第2又は下部のRF電源170に結合される。第1のRF電源165は、高周波RF電源(例えば、約13.56MHz又は約40MHz)であってよく、第2のRF電源170は、低周波RF電源(例えば、約2MHz又は約13.56MHz)であってよい。他の周波数も企図されることに留意されたい。幾つかの実装態様では、第2のRF電源170は、混合周波数RF電源であり、高周波及び低周波電力の両方を提供する。二周波RF電源の利用、特に第2のRF電源170の利用は、膜堆積を改善する。13.56MHz及び40MHz等の二周波電力を提供する第2のRF電源170を利用する場合、13.56MHzの周波数は堆積膜への種の注入を改善し、40MHzの周波数は膜のイオン化及び堆積速度を増加させる。
[0026]処理領域160においてプラズマを生成又は維持する際に、第1のRF電源165及び第2のRF電源170の一方又は両方が利用される。例えば、第2のRF電源170が堆積プロセス中に利用され得、第1のRF電源165が(単独で又は遠隔プラズマ源150と併せて)洗浄プロセス中に利用され得る。幾つかの堆積プロセスでは、第1のRF電源165は、第2のRF電源170と併せて使用される。堆積プロセス中、第1のRF電源165及び第2のRF電源170の一方又は両方は、前駆体ガスのイオン化を促進するために、約4キロワット(kW)から約9kW、例えば約4kWから約6kWの電力を処理領域160で供給する。
[0027]基板支持体115は、そのZ方向への移動を提供するアクチュエータ175に結合される。基板支持体115はまた、第2のRF電源170、並びに他の電力及び流体接続との通信を維持しながら、基板支持体115の垂直移動を可能にする設備ケーブル178に結合される。チャンバ本体192に、スペーサ110が配置される。スペーサ110の高さにより、処理領域160内での基板支持体115の垂直方向の移動が可能になる。一例では、基板支持体115は、リッドアセンブリ105に対して(例えば、シャワーヘッド135の下面に対して)第1の距離180Aから第2の距離180Bに移動可能である。幾つかの実施形態では、第1の距離180Aは約14インチであり、第2の距離は約11.2インチである。従来のプラズマ化学気相堆積(PECVD)プロセスとは対照的に、スペーサ110は、基板支持体115とリッドアセンブリ105との間の距離(ひいては間の体積)を大きく増加させる。基板支持体115とリッドアセンブリ105との間の距離の増加により、プロセス領域160におけるイオン化種の衝突が低減し、その結果、引張応力の少ない膜の堆積がもたらされる。より少ない引張応力で堆積された膜は、膜が形成される基板の改善された平坦性(例えば、より少ない反り)を促進する。基板の反りが減少すると、下流のパターニング工程の精度が改善される。
[0028]可変圧力システム120は、第1のポンプ182と第2のポンプ184とを含む。第1のポンプ182は、洗浄プロセス及び/又は基板移送プロセス中に利用され得る粗引きポンプである。粗引きポンプは、一般に、より高い体積流量を動かす、及び/又は比較的高い(それでも低大気圧ではあるが)圧力を操作するように構成される。一例では、第1のポンプは、洗浄プロセス中に、約300mtorrから約800mtorr、例えば約400torrから約6mtorrの処理チャンバ内の圧力を維持する。洗浄工程中の粗引きポンプの利用により、(堆積工程と比較して)洗浄ガスの比較的高い圧力及び/又は体積流量が促進される。洗浄工程中の比較的高い圧力及び/又は体積流量は、チャンバ表面の洗浄を改善する。
[0029]第2のポンプ184は、堆積プロセス中に利用されるターボポンプであってよい。ターボポンプは、一般に、比較的低い体積流量及び/又は圧力を操作するように構成される。例えば、管状分子ポンプは、堆積プロセス中に、プロセスチャンバの処理領域160を約10mtorr未満、例えば約5mtorr以下の圧力で維持するように構成される。堆積中に維持される処理領域160の減圧は、カーボン系ハードマスクを堆積させる際に、減少した引張応力及び/又は増加したsp-sp変換を有する膜の堆積を促進する。このように、プロセスチャンバ100は、堆積を改善するための比較的低い圧力と、洗浄を改善するための比較的高い圧力の両方を利用するように構成される。
[0030]幾つかの実施形態では、堆積プロセス中に、第1のポンプ182及び第2のポンプ184の両方が利用される。第1のポンプ182及び第2のポンプ184の一方又は両方へのコンダクタンス経路を制御するために、バルブ186が利用される。バルブ186は、処理領域160からの対称的なポンピングも提供する。
[0031]処理チャンバ100は、基板移送ポート185も含む。基板移送ポート185は、内部ドア186A及び外部ドア186Bによって選択的に密閉される。ドア186A及び186Bは各々、アクチュエータ188に結合される。ドア186A及び186Bは、処理領域160の真空密閉を促進する。ドア186A及び186Bはまた、処理領域160内で対称的なRF印加及び/又はプラズマの対称性を提供する。一実施例では、少なくともドア186Aは、ステンレス鋼、アルミニウム、又はそれらの合金等、RF電力の伝導を促進する材料で形成される。
[0032]システムコントローラ101は、処理システム100にある自動化された構成要素の活動及び動作パラメータを制御する。システムコントローラ101は、例えば、アクチュエータ175、188、第1のRF電源165、第2のRF電源170、及び処理ガス源140等の処理システム100の1又は複数の構成要素に独立して結合され得る。システムコントローラ101は、処理システム100にある1又は複数の構成要素を制御するために使用される汎用コンピュータである。システムコントローラ101は、概して、本明細書に開示される1又は複数の処理シーケンスの制御及び自動化を促進するように設計され、典型的には、中央処理装置(CPU)(図示せず)、メモリ(図示せず)、及び支援回路(又はI/O)(図示せず)を含む。ソフトウェア命令及びデータは、CPUに指示するために符号化されてメモリ(例えば、非一過性コンピュータ可読媒体)内に記憶され得る。システムコントローラ内の処理ユニットによって読み取り可能なプログラム(又はコンピュータ命令)は、処理システムにおいてどのタスクが実行可能であるかを決定する。例えば、非一過性コンピュータ可読媒体は、処理ユニットによって実行されると、本明細書に記載の方法の1又は複数を実行するように構成されるプログラムを含む。好ましくは、プログラムは、実行される様々なプロセスレシピのタスク及び様々な処理モジュールのプロセスレシピの工程と共に、基板の移動、支持、及び/又は位置決めの監視、実行、及び制御に関連するタスクを実行するための符号を含む。
[0033]図2は、図1の処理チャンバ100を約90度回転させた概略側面図である。図2に、スペーサ110の詳細を示す。スペーサ110は、処理領域160を取り囲むライナ200を含む。
[0034]スペーサ110は、スペーサ110の本体に埋め込まれた、又は本体と熱的に連通している複数のヒータ要素205も含む。ヒータ要素205は、スペーサ110の温度を約80℃以上に維持するために利用される。各ヒータ要素205は、カートリッジヒータであり得る。
[0035]ライナ200は、上部又は第1の部分210と、下部又は第2の部分215とを含む。第1の部分210は、第2の部分215の厚さよりも大きい厚さを有する。変化した厚みは、多くの利点を提供する。第2の部分215の減少した厚さの1つの利点は、基板支持体115とスペーサ110の内壁との間の空間が増加し、したがって真空コンダクタンスを増加させることである。第2の部分215の減少した厚さの別の利点は、ライナ200の熱質量の変化である。したがって、第1の部分210に隣接する又は第1の部分210にあるライナ200の部分は、第2の部分215に隣接する又は第2の部分215にあるライナ200の部分の温度よりも高い温度に維持される。ライナ200は、アルミニウム、チタン、又はそれらの合金を含む1又は複数の材料から形成される。一実施例では、ライナは、リッドアセンブリ105から、基板支持体115を越えて、処理チャンバ100の底部に隣接して延在する。このような例では、ライナ200は、チャンバ100の底部に接触しないことがあるが、その代わりに、そこから間隔を空けて配置される。更に、図2にライナ200を単一の部材として示したが、プロセスチャンバの内面をライニングするために複数のライナが利用され得ると考えられる。例えば、第1(又は上部)のライナは、スペーサ110をライニングするために利用され得、一方、第2(又は下部)のライナは、チャンバ本体(図1に示す)の内面をライニングするために利用され得る。
[0036]スペーサ110は、磁石アセンブリ220も含む。磁石アセンブリ220は、スペーサ110を取り囲む複数の磁石225を含む。各磁石225は、アクチュエータ230に結合される。アクチュエータ230は、ライナ200の外側でスペーサ110の周りの磁石225を処理チャンバ100の長手方向軸235を中心に回転させる。アクチュエータ230はまた、磁石225を長手方向軸235に沿って垂直方向(Z方向)に移動させるように適合される。各磁石225は、永久磁石、又は電磁石、又はそれらの組合せであってよい。各磁石225は、スペーサ110の側壁242に形成された空洞240内に位置決めされる。一実施例では、磁石は、ヒータ要素205の下方に位置決めされる。
[0037]幾つかの実施形態では、複数の磁石225の約半分は、北極が基板支持体115に面するように(長手方向軸235に実質的に平行に)配向される。複数の磁石225の他の部分は、南極が基板支持体115に面するように(長手方向軸235と実質的に平行に)配向される。一実施例では、複数の磁石225は、スペーサ110を中心とする円状に配置される(面内図)。複数の磁石225は、第1の部分又は第1の半円と、第2の部分又は第2の半円とに分離される。第1の半円の磁石225は各々、北極が基板支持体115に面するように(長手方向軸235に実質的に平行に)配向される。第2の半円の磁石225は各々、南極が基板支持体115に面するように(長手方向軸235に実質的に平行に)配向される。このような例では、複数の磁石225は、堆積中に回転し得る、又は複数の磁石は静止したままであり得る。
[0038]磁石アセンブリ220は、処理領域160におけるプラズマの閉じ込め及び/又は同調を補助する。一実施形態では、磁石アセンブリ220は、堆積プロセス中に処理領域160内に空洞共振器245も形成する。空洞共振器245は、プラズマシースを基板(図2に図示せず)に向かって垂直下方(Z方向)に拡張する磁束を提供する。磁石アセンブリ220は、Z方向だけでなく、X/Y平面にもBフィールドを提供する。磁石アセンブリ220はまた、処理領域160及び/又は空洞共振器245内のプラズマの同調を可能にする。磁石225の位置及び磁石225の回転速度の一方又は両方を調整するためのメトリックを提供するために、プラズマの渦電流が監視され得る。更に、又は代替的に、基板に以前に堆積された膜の計測を実行し、その結果を利用して、磁石225の位置及び/又は回転速度を変化させることができる。このように、空洞共振器245及び磁石アセンブリ220により、基板上の膜の均一性の向上が得られる。
[0039]図3は、基板支持体115を含むカソードアセンブリ300を備える処理チャンバ100の概略断面図である。処理チャンバ100は、ウエハ等の基板を処理チャンバ100に出し入れするための開口部285を備える。カソードアセンブリ300は、カソードアセンブリ300をZ方向に垂直に移動させるアクチュエータ305(図1に示すアクチュエータ175と同様)に結合される。図3に示すように、カソードアセンブリ300は、リッドアセンブリ105に対して最も低い位置(例えば、第1の距離180A)にある。堆積プロセスのためにカソードアセンブリ300の位置を調整することができるため、最適な膜質を達成することが可能である。
[0040]カソードアセンブリ300は、設備インターフェース310も含む。設備インターフェース310は、RF電力だけでなく、他の電気及び流体接続のための接続を提供する。設備インターフェース310は、設備ケーブル178を介して基板支持体115に結合される。他の接続は、電源315、冷却剤源320、及びガス供給部325を含む。
[0041]電源315は、基板支持体115の一部である静電チャック330に電力を供給するために利用される。電源315は、直流(DC)電源であってよい。デチャッキングは、静電チャック330を排出するコントローラ(図示せず)により促進される。更に、設備ケーブル178は、処理チャンバ100内の工程を促進するために、オプションのマッチングネットワークを通して電源170に結合される。一実施例では、設備ケーブル178により、PECVDプロセス中のRF電力の転送が容易になる。スペーサ110によってできる比較的大きな領域、及びPECVDプロセス中に処理チャンバ100が動作した圧力により、プラズマを確立し維持するために(従来のPECVDプロセスと比較して)より高い電力レベルが使用される。例えば、設備ケーブルは、PECVDプロセス中、110アンペアで、約4kWから約9kWの電力を搬送し得る。同調された構成で磁石225を利用する場合、アンペア数は、膜質の劣化なしに、約78アンペアから約80アンペアの範囲に低減され得ると考えられる。
[0042]冷却剤源320は、基板支持体115を冷却する冷却剤を含んでいる。例えば、静電チャック330(及び/又はその上に位置決めされた基板)の温度を約0℃から約-10℃に維持するために、冷却剤源320からの冷却剤が基板支持体115に流される。冷却剤は、熱伝達流体、例えばGALDEN(登録商標)という商品名で販売されている熱伝達流体を含む。
[0043]ガス供給部325は、結露を防止するために、静電チャック330の下方の空間に流体を供給する。流体は、清浄な乾燥空気、窒素(N)、ヘリウム(He)、又は他の適切なガスであってよい。静電チャックの下方の空間に供給される流体は、静電(その下面を含む)上への結露を低減する。
[0044]本明細書に記載の基板支持体115、加熱スペーサ110(図2に示すヒータ要素205を使用)、及び空洞共振器235の冷却の組み合わせの1つ又は幾つかにより、多くの利点が得られる。基板の温度が低いと、基板の反りを減少させるだけでなく、堆積速度を増加させる。スペーサ110及び/又はライナ200の温度を約100℃未満に維持することで、より効率的な洗浄が促進される、及び/又はライナ200上への粒子の付着が最小限に抑えられる。ライナ200の冷却を促進するために、1又は複数の冷却チャネルがスペーサ110に形成され得る。
[0045]また、図3に、支持構造体335も示す。1つのみを示したが、支持構造体335は、そこに形成された3つの真空チャネル340を有する。真空チャネル340は、可変圧力システム120に結合される。真空チャネル340は、処理領域160からの対称的なポンピングを容易にする。
[0046]カソードアセンブリ300は、ベースリング350及びオプションのマウンティングプレート345に結合される。ファスナ355は、ベースリング350から延びて、基板支持体115をその上に固定する。
[0047]図4は、基板支持体115の一実施形態を示す概略断面図である。上述したように、基板支持体115は、静電チャック330を含む。静電チャック330は、パック400を含む。パック400は、その中に埋め込まれた1又は複数の電極405を含む。1又は複数の電極405の第1の電極は、チャッキング電極として利用され、1又は複数の電極405の第2の電極は、RFバイアス電極として利用される。パック400は、セラミック材料、例えば窒化アルミニウム(AlN)等の誘電体材料から形成される。
[0048]パック400は、誘電体プレート410とベースプレート415とによって支持される。誘電体プレート410は、石英等の電気絶縁材料、又はREXOLITE(登録商標)という商品名で販売されている高性能プラスチック等の熱可塑性材料から形成され得る。ベースプレート415は、アルミニウム等の金属材料でできていてよい。工程中、ベースプレート415は、パック400がRF通電状態にある間、グラウンドに結合されている、又は電気的に浮遊している。設備ケーブル178の端部は、パック400、誘電体プレート410及びベースプレート415に形成された開口部に図示されている。パック400の電極のための電力、並びにガス供給部325(図3に示す)から基板支持体115への流体は、設備ケーブル178によって提供される。
[0049]パック400、誘電体プレート410、及びベースプレート415の各々は、設備ケーブル178を収容するためにその中又はそれを通して形成された、それぞれ軸方向に整列した開口部を含む。パック400は、設備ケーブル178と係合する形状の開口部495を含む。例えば、開口部495は、設備ケーブル178を受け入れるための雌型ソケットとして構成され得る。誘電体プレート410は、開口部495と軸方向に整列した開口部496を含む。開口部496は、開口部495の直径とほぼ等しい直径を有する上部496aと、上部の直径より大きい直径を有する中間部496bと、中間部496bの直径より大きい直径を有する下部496cとを含む。ベースプレート415は、第1の直径を有する上部497aと、第1の直径よりも小さい第2の直径を有する下部497bとを有する開口部497を含む。開口部496、496、及び497の複数の直径により、そこに設備ケーブル178を固定することが容易になる。
[0050]パック400は、その中に形成された複数の流体チャネル430を含む。各流体チャネル430は、入口チャネル432と流体連結している。入口チャネル432は、入口導管434に流体結合される。入口導管434は、冷却剤源320に結合される。流体チャネル430及び入口チャネル432の各々は、キャッププレート436によって密閉される。キャッププレート436は、パック400と同じ材料、又はアルミニウムでできていてよく、流体チャネル430及び入口導管434を密閉するためにパック400に溶接され得る又は他の方法で結合され得る。図示していないが、入口導管434と同様の出口導管が、冷却流体をその中で再循環させることができるように基板支持体115に設けられる。
[0051]入口導管434の一部は、管状部材438によって形成される。管状部材438は、セラミック材料等の誘電体材料から形成される。シール440は、キャッププレート436及びベースプレート415に隣接する管状部材438の端部に設けられる。管状部材438は、そこを通って流れる冷却流体によって引き起こされ得るアーク放電を防止する。管状部材438はまた、誘電体プレート410の割れを防止するために、その中を流れる比較的冷たい冷却流体から誘電体プレート410を熱的に絶縁することができる。
[0052]また、基板支持体115は、複数のリフトピン442(1本のみ図示)を含む。各リフトピン442は、誘電体ブッシング444に移動可能に配置される。各リフトピン442は、AlN、サファイア、石英等のセラミック材料から形成され得る。誘電体ブッシング444は、パック400、誘電体プレート410及びベースプレート415の各々に設けられる、又はこれらを貫通して設けられる。誘電体ブッシング444は、ポリテトラフルオロエチレン(PTFE)材料等のポリマー材料でできている。誘電体ブッシング444は、その長さ方向に沿って、リフトピン442が案内される開口部446を含む。開口部446は、誘電体ブッシング444にコンダクタンス経路が形成されるように、リフトピン442の寸法(直径)よりわずかに大きく寸法設定されている。例えば、開口部446は、処理領域160と、誘電体ブッシング444を通して可変圧力システム120との間で真空コンダクタンスが得られるように、可変圧力システム120に結合される。開口部446によって提供されるコンダクタンス経路は、リフトピンのアーク放電を防止する。誘電体ブッシング444は、可変直径部分である複数の段差448を含む。段差448は、電気が伝わり得る経路の長さを増加させ、また経路に沿って角度のあるターンを導入することによって、パック400とベースプレート415との間のアーク放電を減少させる。
[0053]また、基板支持体115は、複数のファスナ装置450(1つのみ図示)を含む。ファスナ装置450は、パック400を誘電体プレート410に取り付けるために利用される。各ファスナ装置450は、ファスナ452と、金属ワッシャ454と、ファスナキャップ456とを含む。ファスナ456が締め付けられると、ワッシャ454が誘電体プレート410に形成された開口部460の表面458に押しつけられる。ワッシャ454及びファスナ452は、ステンレス鋼等の金属材料でできている。ワッシャ454は、丸みを帯びた上部の角を含む。丸みを帯びた上部の角は、ファスナ452が締め付けられたときの誘電体プレート410の材料の割れを防ぐ。
[0054]ファスナキャップ456は、誘電体プレート410の開口部460の残りを埋めるために利用される。ファスナキャップ456は、ファスナ452のヘッドを受け入れるように寸法設定されたポケット464を含む。ファスナキャップ456は、ポリマー、例えばポリエーテルエーテルケトン(PEEK)等の誘電体材料から形成される。ファスナキャップ456の外面は段差を含み、この段差は、電気が伝わり得る経路の長さを増加させることによって、パック400とベースプレート415との間のアーク放電を低減させる。
[0055]また、基板支持体115は、その層間に複数の間隙を含む。第1の間隙470は、パック400と誘電体プレート410との間に設けられる。第2の間隙472は、誘電体プレート410とベースプレート415との間に設けられる。第1の間隙470及び第2の間隙472は、図3A及び図3Bに示し説明したガス供給部325と流体連結している。ガス供給装置325からの流体は、隣接する層間の結露を防止するために、第1の間隙470及び第2の間隙472に流される。第1の間隙470及び第2の間隙472の流体は、絶縁体リング420によって基板支持体115の端部に密閉される。絶縁体リング420は、第1の間隙470及び第2の間隙472からの流体の漏れを制御するように寸法設定され得る。絶縁体リング420は、酸化ケイ素等の絶縁材料を含み得る。
[0056]少なくともパック400及び誘電体プレート410は、絶縁体リング420によって取り囲まれる。ベースプレート415及び絶縁体リング420の一部は、アルミニウム、又は他の導電性材料でできたグラウンドリング425によって取り囲まれる。絶縁体リング420は、角型ターンを誘導することによってRF電流の流れの「見通し線」を減少させる更なる拡張部(図示せず)を含み得る。図示したような断面形状の角型ターンを含むことにより、RF電流のアーク放電が減少する。絶縁体リング420は、工程中のパック400とベースプレート415との間のアーク放電を防止する又は最小限に抑える。
[0057]絶縁体リング420の内周に隣接して、エッジリング422が配置される。エッジリング422は、中でもとりわけ、石英、シリコン、架橋ポリスチレン及びジビニルベンゼン(例えば、Rexolite(登録商標))、PEEK、Al、AIN等の誘電体材料を含み得る。上記誘電体材料を含むエッジリング422を利用することは、プラズマカップリングを変調するのに役立ち、プラズマ電力を変更することなく、基板支持体上の電圧(Vdc)等のプラズマ特性を変調し、これにより、基板に堆積したハードマスク膜の特性を向上させることができる。エッジリング422の材料を通してウエハ又は基板へのRF結合を変調することにより、膜の弾性率が膜の応力から切り離され得る。このように、RF電力を上げることによってイオンエネルギーを変調することなく、ハードマスクの応力を弾性率に影響を与えずに低減させることができる、又はハードマスクの弾性率を応力に影響を与えずに改善することができる。
[0058]従来、膜の弾性率又は応力の調整は、処理チャンバにおいてプラズマを点火又は維持するために利用されるRF電力を調整することによって行われていた。RF電力を変更することによって、膜の弾性率や応力を変化させることができる。しかし、RF電力の変更は、膜の弾性率と膜の応力の両方に同時に影響する。従って、従来は、意図的に膜の弾性率を変化させると、意図せずに膜の応力が変化することがある、又は逆に膜の応力を変化させると、意図せずに膜の弾性率が変化することがある。
[0059]これに対し、エッジリングの材料を変更すると、膜応力に影響を与えずに膜弾性率を変化させる、あるいはその逆が可能であることが予想外に発見された。これは、理論にとらわれることなく、異なる材料で形成されたエッジリングの特性の変化により、基板へのRF結合が変化した結果であると考えられる。更に、プラズマを生成/維持するために印加するRF電力を変更せずに基板へのRF結合を変化させることにより、別の特性(例えば、弾性率又は応力の一方)に大きな影響を与えることなく、1つの特性(例えば、弾性率又は応力の他方)を調整することが可能になる。
[0060]通常、堆積中は主に1つのRF源のみを使用し、Vdcを増加させるためにプラズマ電力(又は圧力)を増加させ、高いVdcによりイオン密度(すなわち、高出力での更なるイオン化)とイオンエネルギーの両方を増加させる。そのため、応力及び弾性率を独立して調整又は修正することはできない。しかしながら、エッジリング422の材料を変更することによって、基板上のVdcが、プラズマイオン化に影響を与えることなく増加する。このように、応力及び弾性率等の特性を独立して調整又は修正することができる。例えば、Alを含む第1のエッジリングと石英を含む第2のエッジリングとを比較すると、Alエッジリングと石英エッジリングの両方が同じ弾性率を有する膜をもたらすが、石英エッジリングは、Alエッジリングよりも著しく小さい応力を有する膜をもたらす。
[0061]プラズマ電位で導体として機能するプラズマとグラウンドとの間に配置された低い誘電率を有するエッジリング422を利用することで、プラズマとグラウンドとの間のキャパシタンスを変調することができる。これにより、プラズマとグラウンドとの間の電界が減少し、グラウンドへのイオンフラックスが変調される。より低い誘電率を有するエッジリング422及びグラウンドに変調されるイオンフラックスは電界を増強又は強化し、その結果、基板上のVdc及びイオンエネルギーの両方が増強される。このように、基板上のイオンエネルギーが、RF電力が増加した場合に生じるイオン及び中性密度のいかなる増加も必要とすることなく、増強される。RF電力を増加させることなく、Vdcとイオンエネルギーを増強することで、基板の応力及び弾性率を独立して調整することが可能になる。
[0062]図5は、一実施形態に係る、ハードマスク膜の特性を変調するためにエッジリングを用いる方法500を示す図である。方法500は、システムコントローラ101を含む図1~3の処理チャンバ100、及び図4の基板支持体115と共に利用され得る。
[0063]工程502において、プロセスチャンバに第1の基板が配置された後、第1の基板上に第1のハードマスク膜が堆積され、第1の基板が第1のRF電力で処理される。工程504において、第1のハードマスク膜の1又は複数の膜特性が測定される。1又は複数の膜特性は、少なくとも膜応力及び膜弾性率を含む。工程506において、基板支持体及び処理チャンバから第1の基板が取り外される。
[0064]工程508において、基板支持体の第1のエッジリングは、石英、シリコン、架橋ポリスチレン及びジビニルベンゼン(例えば、Rexolite(登録商標))、PEEK、Al、又はAIN等の誘電体材料を含む第2のエッジリングと交換される。第2のエッジリングは、図4のエッジリング422であってよい。第2のエッジリングは、第1のエッジリングよりも低い誘電率を有し得る。あるいは、第2のエッジリングは、第1のエッジリングよりも高い誘電率を有し得る。上記誘電体材料を含む第2のエッジリングは、プラズマカップリングを変調するのに役立ち、プラズマ電力を変更することなくプラズマ特性を変調し、基板に堆積されたハードマスク膜の特性を向上させる。一例では、第2のエッジリングは、第1のハードマスク膜の測定の結果として、弾性率又は応力等の特性を調整するように選択される。例えば、第1のハードマスク膜の弾性率が所定の範囲内にない(しかし応力は所定の範囲内にある)と決定された場合、第2のエッジリングは、その後堆積される膜の弾性率を所定の範囲内になるように調整し、応力も前に決定した範囲にとどまるように選択される。
[0065]工程510において、次に、基板支持体上に第2の基板が配置される。工程512において、第2の基板上に第2のハードマスク膜が堆積され、第2の基板が第1のRF電力で処理される。このように、第1の基板と第2の基板の両方は、同じ処理チャンバ内で同じRF電力で処理される。
[0066]工程514において、第2のハードマスク膜の1又は複数の膜特性が測定される。1又は複数の膜特性は、少なくとも膜応力及び膜弾性率を含む。次に、第2のハードマスク膜の膜特性は、第1のハードマスク膜の膜特性と比較され、第1のハードマスク膜と第2のハードマスク膜との間の所望の量の調整が達成されたことが確認される。第2のハードマスク膜は、少なくとも約20%の第1のハードマスク膜とは異なる弾性率の変化を有し得る。第2のハードマスク膜は、約5%未満の第1のハードマスク膜とは異なる応力の変化を有し得る。あるいは、第2のハードマスク膜は、少なくとも約20%の第1のハードマスク膜とは異なる応力の変化を有し得、第2のハードマスク膜は、約5%未満の第1のハードマスク膜とは異なる弾性率の変化を有し得る。このように、第1及び第2の基板を同じRF電力で処理しても、エッジリングの材料を変更した結果、処理レシピ/パラメータに他のいかなる変更も加えることなく、第2の基板に配置された第2のハードマスク膜の膜質は、第1の基板に配置された第1のハードマスクの膜質よりも優れている。
[0067]エッジリングの材料を通して基板へのRF結合を変調することにより、弾性率と応力の逆相関を解消する(すなわち、弾性率を応力から切り離す)ことができる。そのため、弾性率に影響を与えることなくハードマスクの応力を低減することができる、又は応力に影響を与えることなくハードマスクの弾性率を向上させることができる。更に、RF電力を上げることによってイオンエネルギーを変調せずに、ハードマスクの膜特性を向上させることができる。
[0068]石英、シリコン、架橋ポリスチレン及びジビニルベンゼン(例えば、Rexolite(登録商標))、PEEK、Al、又はAIN等の誘電体材料を含むエッジリングを利用することにより、堆積されるハードマスク膜に高い弾性率及び低い応力の両方を持たせることが可能になる。エッジリングの利用により、高弾性率及び低応力を有するハードマスク膜が堆積されるため、システムの他の構成要素がRF電流及び電圧の増加により増加した負荷に対処する必要がなくなり、構成要素の故障を防止することによって構成要素の寿命を伸びる。
[0069]前述の内容は本開示の実施形態を対象としているが、以下の特許請求の範囲によって決定されるその基本的な範囲から逸脱することなく、本開示の他のさらなる実施形態を考案することが可能である。

Claims (20)

  1. 1又は複数のハードマスク膜の特性を変調する方法であって、
    第1の基板上に第1のハードマスク膜を堆積させ、前記第1の基板を第1のRF電力で処理することと、
    前記第1のハードマスク膜の1又は複数の膜特性を測定することと、
    基板支持体から前記第1の基板を取り外すことと、
    前記基板支持体の第1のエッジリングを第2のエッジリングと交換することであって、前記第2のエッジリングは、石英、シリコン、架橋ポリスチレン及びジビニルベンゼン、ポリエーテルエーテルケトン、Al、及びAINで構成される群から選択される材料を含む、前記基板支持体の第1のエッジリングを第2のエッジリングと交換することと、
    前記基板支持体上に第2の基板を配置することと、
    前記第2の基板上に第2のハードマスク膜を堆積させ、前記第2の基板を前記第1のRF電力で処理することと、
    前記第2のハードマスク膜の前記1又は複数の膜特性を測定することと
    を含む方法。
  2. 前記第2のハードマスク膜は少なくとも約20%の前記第1のハードマスク膜とは異なる弾性率の変化を有し、前記第2のハードマスク膜は約5%未満の前記第1のハードマスク膜とは異なる応力の変化を有する、請求項1に記載の方法。
  3. 前記第2のハードマスク膜は少なくとも約20%の前記第1のハードマスク膜とは異なる応力の変化を有し、前記第2のハードマスク膜は約5%未満の前記第1のハードマスク膜とは異なる弾性率の変化を有する、請求項1に記載の方法。
  4. 前記第1のエッジリングは前記第2のエッジリングと異なる材料を含み、第1のハードマスク材料は第2のハードマスク材料と同じである、請求項1に記載の方法。
  5. 前記第2のエッジリングは前記第1のエッジリングよりも低い誘電率を有する、請求項1に記載の方法。
  6. 前記第2のエッジリングの材料は、前記第1のRF電力が印加されたときに、前記第2の基板上の電圧及びイオンエネルギーを増加させる、請求項1に記載の方法。
  7. 前記1又は複数の膜特性は弾性率及び応力を含み、前記第2のエッジリングの材料は前記第2のハードマスク膜の弾性率及び応力を独立して調整するように選択される、請求項1に記載の方法。
  8. 1又は複数のハードマスク膜の特性を変調する方法であって、
    第1の基板上に第1のハードマスク膜を堆積させ、前記第1の基板を第1のRF電力で処理することと、
    前記第1のハードマスク膜の1又は複数の膜特性を測定することであって、前記1又は複数の膜特性は弾性率及び応力を含む、前記第1のハードマスク膜の1又は複数の膜特性を測定することと、
    基板支持体から前記第1の基板を取り外すことと、
    前記基板支持体の第1のエッジリングを第2のエッジリングと交換することであって、前記第1のエッジリングは前記第2のエッジリングの第2の材料とは異なる第1の材料を含む、前記基板支持体の第1のエッジリングを第2のエッジリングと交換することと、
    前記基板支持体上に第2の基板を配置することと、
    前記第2の基板上に第2のハードマスク膜を堆積させ、前記第2の基板を前記第1のRF電力で処理することであって、前記第2のエッジリングの前記第2の材料は、前記第1のハードマスク膜の測定された前記1又は複数の膜特性に基づいて、前記第2のハードマスク膜の少なくとも1つの膜特性を調整するように選択される、前記第2の基板上に第2のハードマスク膜を堆積させ、前記第2の基板を第1のRF電力で処理することと
    を含む方法。
  9. 前記第2のエッジリングの誘電体材料は、石英、シリコン、架橋ポリスチレン及びジビニルベンゼン、ポリエーテルエーテルケトン、Al、及びAINで構成される群から選択される、請求項8に記載の方法。
  10. 前記第2のハードマスク膜は少なくとも約20%の前記第1のハードマスク膜とは異なる弾性率の変化を有し、前記第2のハードマスク膜は約5%未満の前記第1のハードマスク膜とは異なる応力の変化を有する、請求項8に記載の方法。
  11. 前記第2のハードマスク膜は少なくとも約20%の前記第1のハードマスク膜とは異なる応力の変化を有し、前記第2のハードマスク膜は約5%未満の前記第1のハードマスク膜とは異なる弾性率の変化を有する、請求項8に記載の方法。
  12. 前記第2のエッジリングは前記第1のエッジリングよりも高い誘電率を有する、請求項8に記載の方法。
  13. 前記第2のエッジリングの材料は、前記第1のRF電力が印加されたときに、前記第2の基板上の電圧及びイオンエネルギーを増加させる、請求項8に記載の方法。
  14. 前記第2のエッジリングの第2の材料は、前記第2のハードマスク膜の弾性率及び応力を独立して調整するように選択される、請求項8に記載の方法。
  15. 非一過性コンピュータ可読媒体であって、システムに、
    第1の基板上に第1のハードマスク膜を堆積させ、前記第1の基板を第1のRF電力で処理することと、
    前記第1のハードマスク膜の1又は複数の膜特性を測定することと、
    基板支持体から前記第1の基板を取り外すことと、
    前記基板支持体の第1のエッジリングを第2のエッジリングと交換することであって、前記第2のエッジリングは、石英、シリコン、架橋ポリスチレン及びジビニルベンゼン、ポリエーテルエーテルケトン、Al、及びAINで構成される群から選択される材料を含む、前記基板支持体の第1のエッジリングを第2のエッジリングと交換することと、
    前記基板支持体上に第2の基板を配置することと、
    前記第2の基板上に第2のハードマスク膜を堆積させ、前記第2の基板を前記第1のRF電力で処理することと、
    前記第2のハードマスク膜の前記1又は複数の膜特性を測定することと
    を行わせるように構成された命令を有する、非一過性コンピュータ可読媒体。
  16. 前記第2のハードマスク膜は少なくとも約20%の前記第1のハードマスク膜とは異なる弾性率の変化を有し、前記第2のハードマスク膜は約5%未満の前記第1のハードマスク膜とは異なる応力の変化を有する、請求項15に記載の非一過性コンピュータ可読媒体。
  17. 前記第2のハードマスク膜は少なくとも約20%の前記第1のハードマスク膜とは異なる応力の変化を有し、前記第2のハードマスク膜は約5%未満の前記第1のハードマスク膜とは異なる弾性率の変化を有する、請求項15に記載の非一過性コンピュータ可読媒体。
  18. 前記1又は複数の膜特性は弾性率及び応力を含み、前記第2のエッジリングの材料は、前記第2のハードマスク膜の弾性率及び応力を独立して調整するように選択される、請求項15に記載の非一過性コンピュータ可読媒体。
  19. 前記第2のエッジリングは、前記第1のエッジリングよりも低い誘電率を有する、請求項15に記載の非一過性コンピュータ可読媒体。
  20. 前記第2のエッジリングの材料は、前記第1のRF電力が印加されたときに、前記第2の基板上の電圧及びイオンエネルギーを増加させる、請求項15に記載の非一過性コンピュータ可読媒体。
JP2021577978A 2019-07-01 2020-06-26 プラズマカップリング材料の最適化による膜特性の変調 Pending JP2022538455A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962869470P 2019-07-01 2019-07-01
US62/869,470 2019-07-01
PCT/US2020/039841 WO2021003070A1 (en) 2019-07-01 2020-06-26 Modulating film properties by optimizing plasma coupling materials

Publications (1)

Publication Number Publication Date
JP2022538455A true JP2022538455A (ja) 2022-09-02

Family

ID=74066461

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021577978A Pending JP2022538455A (ja) 2019-07-01 2020-06-26 プラズマカップリング材料の最適化による膜特性の変調

Country Status (6)

Country Link
US (1) US11270905B2 (ja)
JP (1) JP2022538455A (ja)
KR (1) KR20220037456A (ja)
CN (1) CN114008761A (ja)
TW (1) TW202108803A (ja)
WO (1) WO2021003070A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220349050A1 (en) * 2021-04-30 2022-11-03 Applied Materials, Inc. Method and apparatus with high conductance components for chamber cleaning

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0221531A3 (en) 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha High heat conductive insulated substrate and method of manufacturing the same
WO1992017900A1 (en) 1991-04-03 1992-10-15 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
US5352493A (en) 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
JPH07268622A (ja) 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
TW422892B (en) 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
US6013980A (en) 1997-05-09 2000-01-11 Advanced Refractory Technologies, Inc. Electrically tunable low secondary electron emission diamond-like coatings and process for depositing coatings
JP2868120B2 (ja) 1997-06-11 1999-03-10 川崎重工業株式会社 電子ビーム励起プラズマ発生装置
US6372303B1 (en) 1997-06-16 2002-04-16 Robert Bosch Gmbh Method and device for vacuum-coating a substrate
MY132894A (en) 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US6320295B1 (en) 1998-11-18 2001-11-20 Mcgill Robert Andrew Diamond or diamond like carbon coated chemical sensors and a method of making same
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
EP1197581B1 (en) 1999-05-19 2006-10-25 Mitsubishi Shoji Plastics Corporation Dlc film, dlc-coated plastic container, and method and apparatus for manufacturing dlc-coated plastic container
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
US6900002B1 (en) 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
WO2004076710A1 (ja) 2003-02-26 2004-09-10 Sumitomo Electric Industries, Ltd. 非晶質炭素膜、その製造方法および非晶質炭素膜被覆部材
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US8808856B2 (en) 2005-01-05 2014-08-19 Pureron Japan Co., Ltd. Apparatus and method for producing carbon film using plasma CVD and carbon film
JP2006190795A (ja) * 2005-01-06 2006-07-20 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および急速熱処理装置
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US8119240B2 (en) 2005-12-02 2012-02-21 United Technologies Corporation Metal-free diamond-like-carbon coatings
KR100812504B1 (ko) 2006-09-05 2008-03-11 성균관대학교산학협력단 전도성 고경도 탄소박막의 제조 방법 및 박막 전계 발광소자용 전극으로의 응용
US8500963B2 (en) 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
US7959735B2 (en) 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
US8105660B2 (en) 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
US8101444B2 (en) 2007-08-17 2012-01-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2009167512A (ja) 2008-01-21 2009-07-30 Kobe Steel Ltd 摺動部品用ダイヤモンドライクカーボン皮膜およびその製造方法
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP4704453B2 (ja) 2008-07-16 2011-06-15 株式会社プラズマイオンアシスト ダイヤモンドライクカーボン製造装置、製造方法及び工業製品
JP4755262B2 (ja) 2009-01-28 2011-08-24 株式会社神戸製鋼所 ダイヤモンドライクカーボン膜の製造方法
CN103597119B (zh) 2009-07-08 2017-03-08 艾克斯特朗欧洲公司 用于等离子体处理的装置和方法
KR20110115291A (ko) 2010-04-15 2011-10-21 경북대학교 산학협력단 Dlc 코팅장치
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US20120276743A1 (en) 2011-04-26 2012-11-01 Jai-Hyung Won Methods of forming a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
KR20120121340A (ko) 2011-04-26 2012-11-05 삼성전자주식회사 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법
EP2587518B1 (en) 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
JP5935116B2 (ja) 2011-12-16 2016-06-15 東京エレクトロン株式会社 プラズマ処理装置
CN103594495A (zh) 2012-08-16 2014-02-19 中国科学院微电子研究所 半导体器件及其制造方法
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9484303B2 (en) * 2013-03-13 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Stress tuning for reducing wafer warpage
WO2014149175A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
US20160042961A1 (en) 2014-08-06 2016-02-11 Applied Materials, Inc. Electron beam plasma source with rotating cathode, backside helium cooling and liquid cooled pedestal for uniform plasma generation
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
US9390910B2 (en) * 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
US9959610B2 (en) * 2014-10-30 2018-05-01 Applied Materials, Inc. System and method to detect substrate and/or substrate support misalignment using imaging
US20170263478A1 (en) * 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9695593B2 (en) 2015-11-10 2017-07-04 Detec Systems Llc Leak detection in roof membranes
US10020218B2 (en) * 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR20170127724A (ko) * 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
CN108220922B (zh) * 2016-12-15 2020-12-29 东京毅力科创株式会社 成膜方法、硼膜以及成膜装置
US10847347B2 (en) * 2018-08-23 2020-11-24 Applied Materials, Inc. Edge ring assembly for a substrate support in a plasma processing chamber
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity

Also Published As

Publication number Publication date
WO2021003070A1 (en) 2021-01-07
US11270905B2 (en) 2022-03-08
TW202108803A (zh) 2021-03-01
US20210005500A1 (en) 2021-01-07
KR20220037456A (ko) 2022-03-24
CN114008761A (zh) 2022-02-01

Similar Documents

Publication Publication Date Title
US10529539B2 (en) Plasma processing apparatus and method
US8303834B2 (en) Plasma processing apparatus and plasma etching method
US7815740B2 (en) Substrate mounting table, substrate processing apparatus and substrate processing method
US7767055B2 (en) Capacitive coupling plasma processing apparatus
US8216485B2 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
US11560626B2 (en) Substrate processing chamber
US11430636B2 (en) Plasma processing apparatus and cleaning method
US20230343586A1 (en) Method of using dual frequency rf power in a process chamber
US20080038162A1 (en) Table for use in plasma processing system and plasma processing system
WO2019138654A1 (ja) プラズマ処理装置及びプラズマ処理方法
KR20180099504A (ko) 반도체 제조 방법 및 플라즈마 처리 장치
JP2022538455A (ja) プラズマカップリング材料の最適化による膜特性の変調
US20100267243A1 (en) Plasma processing method and apparatus
US20150221522A1 (en) Plasma processing method and plasma processing apparatus
US11361973B2 (en) Etching method and etching apparatus
US20210142990A1 (en) Plasma processing apparatus
KR20200074033A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US11810792B2 (en) Etching method and substrate processing apparatus
US20220349050A1 (en) Method and apparatus with high conductance components for chamber cleaning
KR20240034244A (ko) 미리 결정된 모듈러스 범위 내에서 막 모듈러스를 유지하기 위한 방법들, 장치, 및 시스템들
CN115440562A (zh) 喷淋头、电极组件、气体供给组件、基片处理装置和系统
TW202225451A (zh) 以介電陳化膜陳化靜電夾盤的系統與方法
JP2022074000A5 (ja)

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230619