JP2006049817A - プラズマ処理方法およびプラズマエッチング方法 - Google Patents

プラズマ処理方法およびプラズマエッチング方法 Download PDF

Info

Publication number
JP2006049817A
JP2006049817A JP2005091867A JP2005091867A JP2006049817A JP 2006049817 A JP2006049817 A JP 2006049817A JP 2005091867 A JP2005091867 A JP 2005091867A JP 2005091867 A JP2005091867 A JP 2005091867A JP 2006049817 A JP2006049817 A JP 2006049817A
Authority
JP
Japan
Prior art keywords
plasma
gas
etching
substrate
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005091867A
Other languages
English (en)
Inventor
Yasuyuki Hoshino
恭之 星野
Seiji Sagawa
誠二 寒川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tohoku University NUC
Resonac Holdings Corp
Original Assignee
Tohoku University NUC
Showa Denko KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tohoku University NUC, Showa Denko KK filed Critical Tohoku University NUC
Priority to JP2005091867A priority Critical patent/JP2006049817A/ja
Priority to TW094122896A priority patent/TWI392014B/zh
Priority to KR1020077002884A priority patent/KR100896549B1/ko
Priority to US11/631,597 priority patent/US20080085604A1/en
Priority to CNB2005800230341A priority patent/CN100573828C/zh
Priority to PCT/JP2005/012878 priority patent/WO2006004224A1/en
Publication of JP2006049817A publication Critical patent/JP2006049817A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Abstract

【課題】
地球環境保全およびプラズマプロセスの高性能化を実現するため、非温室効果ガスを用いたプラズマ処理方法を開発し、デバイスへの損傷を抑制することができる高精度のプラズマエッチング方法を提供すること。
【解決手段】
本発明に係るプラズマ処理方法は、プラズマ生成室にフッ素ガス(F2)を含む処理ガ
スを供給し、高周波電界の印加と印加の停止とを交互に繰り返すことによりプラズマを生成し、該プラズマを基板に照射して基板処理を行うことを特徴とする。また、前記プラズマから負イオンまたは正イオンを、個別にまたは交互に、あるいは、負イオンのみを選択的に引き出して中性化することにより中性粒子ビームを生成し、該中性粒子ビームを基板に照射して基板処理を行ってもよい。
【選択図】 図1

Description

本発明は、高周波電界を利用して生成したプラズマを用いて基板の処理を行うプラズマ処理方法、ならびに半導体素子やマイクロマシン(MEMS:Micro Electric Mechanical System)素子の製造等における微細加工に好適なプラズマエッチング方法に関する。
半導体集積回路の製造工程におけるドライエッチングに利用されるプラズマプロセスでは、フルオロカーボン系や無機フッ化物系のガス(例えば、四フッ化炭素ガス(CF4
、六フッ化硫黄ガス(SF6)など)が大量に用いられている。しかし、フルオロカーボ
ン系や無機フッ化物系のガスは、地球温暖化係数(GWP)が高い温室効果ガスであり、二酸化炭素と並んで地球温暖化を引き起こす大きな要因となっている。それゆえ、温室効果ガスの環境への放出量を削減するために、フルオロカーボン系や無機フッ化物系の代替ガスを利用した新しいプロセスの開発が急務となっている。
また、半導体集積回路の製造工程では、近年、その加工パターンが著しく微細化されていることから、高精度(高選択性・高アスペクト比・高速)のドライエッチング技術の開発が強く求められている。
プラズマプロセスを用いたプラズマエッチングのメカニズムとしては、(1)ラジカルによるエッチング、(2)反応性イオンによるエッチング、(3)イオンアシストエッチング(イオンおよびラジカルの両方によるエッチング)の3つに分類されるものがあると考えられている。
上記メカニズム(1)においては、ラジカルの反応が純粋な化学反応であることから、各種材料に対するエッチング選択性に優れているという利点を有している。しかしながら、ラジカルは電気的には中性であることから、基板に入射するラジカルは拡散によって到達するものであり、運動方向が基板に対してランダムであるため、基板表面におけるエッチング反応はあらゆる方向に進行する。したがって、ラジカルで基板をエッチングすると、エッチングマスクの下までエッチングされる、すなわち等方性エッチングになるという問題がある。
上記メカニズム(2)においては、イオンは電荷を持った粒子であることから、外部からの電界により、基板に対してイオンを加速して方向性を持たせることができる。このような、加速されたイオンによるエッチング過程には、物理的スパッタリングと化学的スパッタリングがある。
物理的スパッタリングとは、イオンの持っている運動量が基板原子に与えられることにより、基板原子の結合が切断されて気相中に飛び出すものである。一方、化学的スパッタリングとは、基板表面に入射してきた反応性イオンと基板原子とが入射エネルギーにより化学反応を起こし、反応生成物が気相中に離脱するものである。
反応性イオンを用いたエッチング過程では、上記物理的スパッタリングと化学的スパッタリングとが、同時に基板表面で起こっていると考えられる。入射するイオンは基板に対してほぼ垂直であることから、エッチング形状はエッチングマスクに対してほぼ垂直に形成される、すなわち、異方性エッチングを達成できる。ただし、マスク材や下地材とのエッチング選択性はラジカルに比べて著しく低下する。
上記メカニズム(3)におけるイオンアシスト反応は、エッチングする基板表面に吸着したラジカルに、加速されたイオンが照射されて起こるため、イオンエネルギーに大きく依存する。反応はイオンが照射されている部分で主に起こるので、エッチング形状は異方性エッチングとなる。
プラズマプロセスを用いたプラズマエッチングにおいては、上記メカニズム(1)〜(3)のエッチング過程が、ある割合で同時に起こっていると考えられている。また、実際のエッチング表面では、上記エッチング反応以外にも、反応生成物の堆積やガス由来のポリマリゼーション(重合反応)などが起こっている。これらの表面反応過程のエッチング反応に占める割合は、エッチング中の動作条件(ガス種、圧力、パワー等)により大きく変化し、これによりエッチング速度、エッチング形状、エッチング選択性などのエッチング特性が大幅に変化する。半導体デバイスの高集積化が進むにつれて、このような複雑なエッチング現象を制御し、エッチング反応におけるイオンの寄与を大きくして微細加工性を向上させることが強く求められている。
半導体のドライエッチング技術として、プラズマプロセスは必要不可欠であるが、加工パターンの微細化に伴い、プラズマプロセスによって生じるデバイスの損傷が問題になってきている。特に、加工パターンが0.1μm以下の寸法まで微細化される先端プロセスにおいては、プラズマ中に生成する電荷(電子、イオン)および光子(フォトン)などによる照射損傷が、例えば、ゲート絶縁膜の絶縁破壊や加工形状の異常を引き起こし、デバイス特性に重大な影響を与えている。さらに、半導体デバイスの高性能化と低消費電力化を目指して積極的な研究開発が進められているhigh−kやlow−k膜と呼ばれる新規材料は、現行のシリコン酸化物(SiO2)膜に比べて物理的・化学的に不安定である
ため、プラズマプロセスに起因する損傷がより顕著になると考えられている。そのため、high−kやlow−k膜の実用化検討を推進する上でも、新しいプラズマプロセスの開発が急務となっている。
プラズマプロセスによって生じるデバイスの損傷を回避することを目的として、プラズマ中の粒子(電子、イオン、ラジカル、フォトン)を制御する技術が種々開発されている。例えば、(1)高周波電界の印加と印加の停止とを、数十μ秒オーダーで交互に繰り返して処理ガスをプラズマ化するパルス変調プラズマ生成技術、(2)プラズマ中の正イオンや負イオンを中性化して、方向性の揃ったビームを生成する中性粒子ビーム生成技術などを利用することによって、プラズマの制御が可能となってきている。
パルス変調プラズマ生成技術では、特開平6−267900号公報(特許文献1)または特開平8−181125号公報(特許文献2)に示されているように、高周波電界の印加と印加の停止とを、数十μ秒オーダーで交互に繰り返すことによって、印加時間中には正イオンやラジカルが生成し、印加の停止時間中には正イオンとラジカルが維持されたまま負イオンを生成することができる。したがって、パルス変調プラズマ技術は、従来の連続放電プラズマではほとんど生成されない負イオンを多量に生成できることを特徴とする。
また、中性粒子ビーム生成技術では、プラズマ中に生成したイオンに電圧を印加してイオンを加速させ、多数の微細孔を有する電極を通過させて中性化することにより、方向性の揃った中性の粒子ビームを生成することができる。
さらに、例えば、特開平9−139364号公報(特許文献3)に開示されているような装置を利用して、パルス変調プラズマ生成技術と、中性粒子ビーム生成技術とを組み合わせることによって、パルス変調プラズマ中に多量に生成させた負イオンを選択的に加速して中性化し、方向性の揃った中性粒子ビームを高密度で生成することができる。負イオ
ンの中性化は、ガス原子・分子に付着した電子の離脱によって進行するため、電荷交換による正イオンの中性化と比較して、低エネルギーで高効率な中性粒子ビームを生成することができる。
このような方法によって生成された、エッチング反応に必要な中性粒子のみで構成されたビームを利用してエッチングすることにより、プラズマ中の荷電粒子およびフォトンの照射を回避することが可能となり、プラズマプロセスによって生じるデバイスの損傷を抑制できることが報告されている。
プラズマプロセスにおけるプラズマ中の粒子(電子、イオン、ラジカル、フォトン)を完全に制御して、デバイスへの損傷を抑制した高精度のプラズマエッチングを実用化するためには、プラズマ生成装置や中性粒子ビーム生成装置の改良などに加えて、動作条件(ガス種、圧力、パワー等)を最適化することが重要な課題のひとつである。プラズマ生成に用いられるガス種については、以下のような課題がある。
半導体デバイスにおいては、電極間を絶縁する膜として、シリコン酸化物(SiO2
が用いられているため、電極を素子や下部電極とコンタクトするコンタクトホールの形成が必要不可欠である。このようなコンタクトホールの形成には、下地のSiに対して高い選択性を得ることが重要であることから、従来からフルオロカーボンガスが用いられている。
このようなフルオロカーボンガスのプラズマでは、基板表面に重合物の堆積(ポリマリゼーション)が起こる。したがって、ハロゲンをベースとする多くのプラズマプロセスにおいては、Siの方がSiO2よりも速くエッチングされる傾向にあることから、重合物
の堆積とエッチング反応とが、SiO2表面およびSi表面の双方の上で競合するように
なる。しかし、SiO2層の中にはO(酸素)が存在するため、SiO2エッチング中に酸素が解離し、堆積重合物と結合して揮発性の生成物であるCO、CO2またはCOF2分子を形成し、SiO2上では堆積物の重合が抑制される。一方、酸素のないSi上には重合
膜堆積抑制効果がないため、重合物の堆積が生じることとなる。この堆積重合物がSi表面を保護(マスク)する効果により、下地シリコンに対してエッチング選択性を得ることが可能となっている。
しかしながら、上述したように、フルオロカーボンガスは地球温暖化係数が高いという問題がある。したがって、このようなフルオロカーボンガスを使用せずに、高選択性のエッチングを達成することが求められている。そのためには、フルオロカーボンガス由来の堆積重合物による保護効果を必要としない新規のプロセス開発が必要である。
例えば、非温室効果ガスを用いてプラズマを生成し、プラズマ中のイオンや中性粒子ビームのエネルギーおよび密度を高精度に制御して基板に照射することができれば、エッチングの反応速度や選択性を完全に制御することが可能となり、良好なコンタクトホールを形成するプロセスが実現できると考えられる。同時に、プラズマ中に生成する電荷(電子、イオン)および光子(フォトン)による照射損傷を抑制し、前述したような絶縁膜の絶縁破壊や加工形状の異常を回避することができると考えられる。
一方、半導体デバイスの電極等に用いられるシリコン(Si)のエッチングにおいては、F(フッ素)系ガスよりもCl(塩素)系ガスまたはBr(臭素)系ガスを用いることにより、ラジカルによる反応を抑制して、異方性エッチングを達成している。F系ガスを用いたプロセスでは、Si基板に到達したFラジカルは、Si格子内部まで進入していき6原子層程度の吸着層を形成する。これに対して、Cl系またはBr系ガスを用いたプロセスでは、ClラジカルやBrラジカルは、Si格子間隔に比べて大きいため、Si格子
内部に侵入しにくく、吸着層は1原子層程度である。このため、ClラジカルやBrラジカルに比べて、FラジカルはSiとの反応性が大きい。結果として、従来のプラズマプロセスを利用してSiのエッチングを行った場合、F系ガスを用いるとエッチング速度は大きいが、異方性エッチングを達成することができないという問題があった。
したがって、F系ガスを用いることによって高速のエッチングを可能にし、かつ、異方性エッチングを達成するためには、運動方向が基板に対してランダムなFラジカルの割合を低減するとともに、基板に対して垂直方向に入射するFイオンや中性のFビームを高密度に生成するプロセスを新規に開発する必要性がある。また、そのような新規プロセスでは、前述したような絶縁膜(Siの下地膜であるSiO2やhigh−k等)の絶縁破壊
または加工形状の異常を回避することができると考えられる。
さらに、近年急速に実用化検討が進められているマイクロマシン(MEMS:Micro Electric Mechanical System)デバイスの製造工程における微細加工では、Si基板に数10μm〜100μm以上の深さでメカニカル構造用の溝などを形成するエッチング加工が要求されている。このようなプロセスでも、プラズマエッチング技術が適用されているが、エッチング特性に対する要求は主に以下の3項目である。
(1)高速のエッチングレートが達成されること。
(2)エッチングプロファイルの垂直性が得られること。
(3)エッチング壁面の平滑さが優れていること。
しかしながら、(1)と(2)で要求される2つの特性は、本質的にトレードオフの関係にある。なぜなら、高速のエッチングレートを達成させるためには、一般的にプラズマ中に高濃度のFラジカルを生成させる必要があるが、ラジカル主体によるエッチングではエッチングプロファイルの垂直性(異方性)が得られないためである。
この問題を解決するために、等方性エッチング工程および側壁保護用成膜工程を1サイクルとして、それらを繰り返すBoschプロセスと呼ばれる方法が現在広く利用されている。
Boschプロセスでは、まず、エッチング工程時間中に、SF6ガスプラズマから生
成するFラジカルによるSiの等方性エッチングを引き起こす。次に、成膜工程時間中に、C48ガスなどのフルオロカーボン系のガスプラズマによってフルオロカーボン状のポリマー膜を形成する。その際、ポリマー膜は全ての表面上(トレンチの底部および側壁部)に堆積する。再び繰り返されるエッチング工程では、前段階で成膜されたポリマー膜のうちトレンチの底部にある部分のみ、イオンの突入を受けて選択的に取り除かれる。一方、エッチング工程時間中でも、トレンチの側壁部に堆積したポリマー膜は、イオンの突入を受けないため、エッチングから保護されて残留する。エッチング工程において、トレンチの底部にのみイオンの突入が起こるのは、基板の下部に設置された電極に高周波電界等を印加することによって、プラズマ中のイオン(荷電粒子)が基板に向かって垂直方向に加速されるためである。このような2段階の工程(等方性エッチング工程と側壁保護用成膜工程)から成るプロセスを数秒〜数10秒毎に繰り返すことによって、ある程度の高速のエッチングレートとエッチングプロファイルの垂直性とが実現されている。
しかしながら、Boschプロセスでは、成膜工程時間中にはエッチングが全く進行しないため、エッチングレートがどうしても制限されてしまうという問題と、側壁部にスキャロップと呼ばれる段々形状、すなわち表面粗さが形成されてしまうという2つの問題がある。スキャロップの段差は、Siの等方性エッチングに起因している。そのため、各エッチング時間を長くしたり、あるいは、プラズマ中のFラジカルの濃度を高くすることによってエッチングレートを高めようとすると、スキャロップの段差はさらに大きくなって
しまう。
したがって、Boschプロセスにおいては、壁面部の平滑さとエッチングレートがトレードオフの関係となる。現在、MEMS等のデバイス特性を向上するために、スキャロップの段差を限りなく小さくするための技術開発が進められている。
一方、Boschプロセスで行われるような特段の側壁保護膜用成膜工程を行わずに、スキャロップの段差を生じない高速エッチング方法が多数提案されている。例えば、特開2002−93776号公報(特許文献4)および特開2004−87738号公報(特許文献5)に開示されているように、O2ガス、C48ガスまたはSiF4ガスなどを混合したSF6ガスのプラズマを生成して、基板処理を行うプロセスが提案されている。
しかしながら、このような混合ガスを用いた方法では、高速のエッチレートとエッチング面の平滑さとを維持しつつ、エッチング形状の垂直性をある程度改善することができるが、Boschプロセスによって得られるような垂直性の高いエッチングプロファイルを達成することは困難であった。
つまり、従来の技術では、(1)高速のエッチングレートが達成されること、(2)エッチングプロファイルの垂直性が得られること、および、(3)エッチング壁面の平滑さが優れていること、という加工技術に求められる3点の要求事項を同時に満足することはできなかった。
さらに、上述したようにSF6ガスやC48ガスなどのフルオロカーボン系のガスは、
地球温暖化係数が高いという問題がある。したがって、これらの温室効果ガスを使用することなく、高性能な微細加工を実現可能な新規プロセスの開発が強く求められている。例えば、非温室効果ガスを用いてプラズマを生成し、プラズマ中のイオンや中性粒子ビームのエネルギーおよび密度を高精度に制御して基板に照射することができれば、(1)高速のエッチングレートが達成されること、(2)エッチングプロファイルの垂直性が得られること、および、(3)エッチング壁面の平滑さが優れていること、という加工技術に求められる3点の要求事項を同時に満足することが可能になる。
特開平6−267900号公報 特開平8−181125号公報 特開平9−139364号公報 特開2002−93776号公報 特開2004−87738号公報
本発明の課題は、地球環境保全およびプラズマプロセスの高性能化を実現するため、非温室効果ガスを用いたプラズマ処理方法を開発し、デバイスへの損傷を抑制することができる高精度のプラズマエッチング方法を提供することにある。
本発明者らは、上記課題を解決すべく鋭意検討した結果、非温室効果ガスを利用した高精度のプラズマ処理方法を初めて可能とすることに成功した。
すなわち本発明は、以下の事項に関する。
(1) フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、
高周波電界の印加と印加の停止とを交互に繰り返すことによりプラズマを生成し、
該プラズマを基板に照射して基板処理を行うことを特徴とするプラズマ処理方法。
(2) フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、
高周波電界の印加と印加の停止とを交互に繰り返すことによりプラズマを生成し、
該プラズマから負イオンまたは正イオンを、個別にあるいは交互に引き出して中性化することにより中性粒子ビームを生成し、
該中性粒子ビームを基板に照射して基板処理を行うことを特徴とするプラズマ処理方法。
(3) フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、
高周波電界の印加と印加の停止とを交互に繰り返すことによりプラズマを生成し、
該プラズマから負イオンのみを選択的に引き出して中性化することにより中性粒子ビームを生成し、
該中性粒子ビームを基板に照射して基板処理を行うことを特徴とするプラズマ処理方法。
(4) 前記処理ガスが100容量%のフッ素ガス(F2)であることを特徴とする前
記(1)〜(3)のいずれかに記載のプラズマ処理方法。
(5) 前記処理ガスがフッ素ガス(F2)と塩素ガス(Cl2)との混合ガスであることを特徴とする前記(1)〜(3)のいずれかに記載のプラズマ処理方法。
(6) 前記フッ素ガス(F2)が、固体状の金属フッ化物を加熱分解することにより
生じるフッ素ガス(F2)であることを特徴とする前記(1)〜(5)のいずれかに記載
のプラズマ処理方法。
(7) 前記プラズマを生成する際のプラズマ生成室のガス圧力が、0.1〜100Paであることを特徴とする前記(1)〜(6)のいずれかに記載のプラズマ処理方法。
(8) 前記プラズマを生成する際の高周波電界の印加の停止時間が、20〜100μ秒であることを特徴とする前記(1)〜(7)のいずれかに記載のプラズマ処理方法。
(9) (1)〜(8)のいずれかに記載のプラズマ処理方法を利用することを特徴とする基板のフッ素化処理方法。
(10) (1)〜(8)のいずれかに記載のプラズマ処理方法を利用することを特徴とする基板のプラズマエッチング方法。
(11) (10)に記載のプラズマエッチング方法を利用することを特徴とするシリコンまたはシリコン化合物のプラズマエッチング方法。
(12) 前記シリコン化合物が、酸化珪素、窒化珪素または珪酸塩であることを特徴とする(11)に記載のプラズマエッチング方法。
(13) (1)〜(12)のいずれかに記載の方法により製作した半導体デバイス。
(14) (1)〜(12)のいずれかに記載の方法により製作したマイクロマシン(MEMS:Micro Electric Mechanical System)デバイス。
本発明に係る非温室効果ガスを用いたプラズマ処理方法を用いれば、半導体デバイスの製造などにおける微細加工に好適な高精度のプラズマエッチング処理を実現することができる。特に、プラズマ中からエッチング反応に必要な中性ビームのみを取り出して基板に照射することによって、加工パターンが0.1μm以下の寸法まで微細化される次世代半導体デバイスの製造プロセスを実現することができる。さらに、近年、開発が進められているMEMSデバイス等の製造における微細加工技術としても有効である。
以下、本発明に係るプラズマ処理方法および該処理方法を利用したプラズマエッチング方法について詳細に説明する。
本発明に係るプラズマ処理方法およびプラズマエッチング方法を実施可能なパルス変調プラズマ生成装置の一例を図1に示す。図1のパルス変調プラズマ生成装置の構成について、以下に説明する。
図1のパルス変調プラズマ生成装置は、処理ガス1を供給するポートが設置された石英製プラズマ生成・基板処理室2の外周に、誘導結合プラズマ生成用アンテナ3がコイル状に巻かれており、該アンテナ3にはパルス変調可能なプラズマ生成用高周波電源4が接続されている。
処理ガス1を供給したプラズマ生成・基板処理室2の外部から高周波電界を印加すると、プラズマ生成・基板処理室2内にプラズマ5が生成する。高周波電界の印加を連続して行うことにより、通常のプラズマ(以下、「連続プラズマ」という。)を生成し、高周波電界の印加と印加の停止とを交互に繰り返すことにより、パルス変調プラズマを生成することができる。高周波電界の印加および印加の停止は、前記高周波電源4から前記アンテナ3に、例えば、放電周波数として13.56MHzのRFバイアスをパルス状に印加することにより行うことができる。なお、高周波電界の印加と印加の停止とを交互に繰り返す時間(パルス幅)は、任意に設定することができる。
また、前記プラズマ生成・基板処理室2内の上下部分には、カーボン製イオン加速用上部電極6およびカーボン製イオン加速用下部電極8が設置されており、それぞれ、電圧印加用電源(上部電極用)7および電圧印加用電源(下部電極用)9が接続されている。
前記上部電極6および下部電極8に印加する電圧の電位差を利用することによって、プラズマ5内に生成したイオン(正負に荷電した粒子)が、プラズマ生成・基板処理室2内の基板保持台10の上に設置した基板11の方向に向かってほぼ垂直に加速され、基板11に対してほぼ垂直に照射される。また、前記基板保持台10は冷却装置(図示せず)によって冷却可能である。
なお、前記プラズマ生成・基板処理室2内は、排気ポンプ(図示せず)によって排気されており、排気ガス12は排気ガス処理装置(図示せず)によって無害化処理されて系外に排出される。
本発明に係るプラズマ処理方法およびプラズマエッチング方法を実施可能なパルス変調プラズマ生成装置の一例を図2に示す。図2のパルス変調プラズマ生成装置の構成について、以下に説明する。
図2のパルス変調プラズマ生成装置は、処理ガス61を供給するポートが設置されたプラズマ生成・基板処理室62の上面に、誘導結合プラズマ生成用アンテナ63が渦巻き状に巻かれており、該アンテナ63にはパルス変調可能なプラズマ生成用高周波電源64が接続されている。
処理ガス61を供給したプラズマ生成・基板処理室62の外部から高周波電界を印加すると、プラズマ生成・基板処理室62内にプラズマ65が生成する。高周波電界の印加を連続して行うことにより、連続プラズマを生成し、高周波電界の印加と印加の停止とを交互に繰り返すことにより、パルス変調プラズマを生成することができる。高周波電界の印加および印加の停止は、前記高周波電源64から前記アンテナ63に、例えば、放電周波数として13.56MHzのRFバイアスをパルス状に印加することにより行うことができる。なお、高周波電界の印加と印加の停止とを交互に繰り返す時間(パルス幅)は、任
意に設定することができる。
基板保持台70の下部にはイオン加速用電極68が設置されており、電圧印加用電源69が接続されている。また、前記基板保持時台70は冷却装置(図示せず)によって冷却可能であり、さらに、昇降装置(図示せず)によって基板61の高さ、すなわち基板とプラズマ生成部との距離を変更することができる。
なお、前記プラズマ生成・基板処理室62内は、排気ポンプ(図示せず)によって排気されており、排気ガス72は排気ガス処理装置(図示せず)によって無害化処理されて系外に排出される。
本発明の第一の方法は、例えば、図1および図2に示したようなパルス変調プラズマ生成装置を用いて、フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、高周波
電界の印加と印加の停止とを交互に繰り返すことによりプラズマを生成し、該プラズマを基板に照射して基板処理を行うことを特徴とするプラズマ処理方法である。本発明者らは、上記本発明の方法により、フッ素ガス(F2)のパルス変調プラズマを生成することに
初めて成功した。
上記方法により得られたフッ素ガス(F2)のパルス変調プラズマ中の負イオン(F-)の生成量は、連続プラズマと比較して格段に多い。また、フッ素ガス(F2)のパルス変
調プラズマ中の負イオン(F-)の生成量は、従来から検討されていた六フッ化硫黄ガス
(SF6)を処理ガスとして用いた場合と比較しても格段に多い。
また、プラズマの電子密度を計測した結果、フッ素ガス(F2)の連続プラズマの電子
密度が、六フッ化硫黄ガス(SF6)の連続プラズマ中の電子密度に比べて極めて大きい
ことを確認した。プラズマの電子密度が大きいことは、処理ガスのイオン化効率が高いことを示しており、そのようなフッ素ガス(F2)の特性が、パルス変調プラズマ中に多量
の負イオン(F-)を生成する原因であると考えられる。すなわち、パルス変調プラズマ
における高周波電界のON時間中に生成した高密度の電子が、続く高周波電解のOFF時間中にフッ素ガス(F2)に解離性付着することによって多量の負イオン(F-)を生成するというスキームが推定される。
さらに、フッ素ガス(F2)のパルス変調プラズマにおいて、微細加工の障害となる方
向性を持たないラジカル(F)の生成量は、従来から検討されていた六フッ化硫黄ガス(SF6)を処理ガスとして用いた場合と比較して格段に少ない。
したがって、処理ガスとしてフッ素ガス(F2)を用いたパルス変調プラズマは、多量
に生成した負イオン(F-)を外部からの電界により基板に向かって加速して方向性を持
たせることができ、かつ、方向性を持たないラジカル(F)の生成量が少ないため、所望の異方性エッチングを実現することができる。
フッ素ガス(F2)は、温暖化係数(GWP)がゼロである非温室効果ガスであるが、
従来まで、プラズマ処理技術およびプラズマエッチング処理技術としての実用化検討は、ほとんど行われていなかった。その理由としては、第一に、フッ素ガス(F2)の反応性
、腐食性および毒性が極めて高いために、その取扱いが困難であったこと、第二に、フッ素ガス(F2)によるプラズマを従来の方法で生成した場合、重要なエッチング特性であ
る異方性エッチングを実現できないことが従来からよく知られていたことが挙げられる。
本発明の方法は、処理ガスとしてフッ素ガス(F2)を含むガスを用いることを特徴と
しているが、近年の優れた耐食材料の新規開発ならびにガス供給設備の信頼性および安全
性の向上に伴って、半導体デバイス等の製造工程において、反応性、腐食性および毒性が高いフッ素ガス(F2)を、プロセスガスとして利用できるようになったという技術的な
進歩が背景にある。こうした技術的背景をもとに、本発明者らが、フッ素ガス(F2)を
初めてパルス変調プラズマに適用することにより、フッ素ガス(F2)のパルス変調プラ
ズマが、微細加工性に優れた特異的な性質、すなわちイオン生成量が多く、かつ、ラジカル生成量が少ないという性質を有していることを初めて見出したことによって、フッ素ガス(F2)を用いたプラズマによる高速の異方性エッチングプロセスを初めて実用可能に
した。
次に、本発明におけるプラズマ処理方法およびプラズマエッチング方法を実施可能な中性粒子ビーム生成装置の一例を図3に示す。図3の中性粒子ビーム生成装置の構成について、以下に説明する。
図3に示した中性粒子ビーム生成装置における石英製プラズマ生成室22は、図1に示したパルス変調プラズマ生成装置における石英製プラズマ生成・基板処理室2と同様の構造を有している。このプラズマ生成室22には、処理ガス21を供給するポートが設置されており、外周には、パルス変調可能なプラズマ生成用高周波電源24が接続された誘導結合プラズマ生成用アンテナ23がコイル状に巻かれている。前記高周波電源24から前記アンテナ23に、例えば、放電周波数として13.56MHzのRFバイアスを印加することによって、プラズマ25(連続プラズマおよびパルス変調プラズマ)を生成することができる。
また、前記プラズマ生成室22内の上下部分には、カーボン製イオン加速用上部電極26およびカーボン製イオン加速用下部電極(ビーム引き出し用電極)28が設置されており、それぞれ、電圧印加用電源(上部電極用)27および電圧印加用電源(下部電極用)29が接続されている。
前記上部電極26および下部電極28に印加する電圧の電位差を利用することによって、プラズマ25内に生成したイオン(正・負に荷電した粒子)が、ステンレス製基板処理室30内の基板保持台32の上に設置した基板33の方向に向かってほぼ垂直に加速され、基板33に対してほぼ垂直に照射される。
プラズマ25内から加速して引き出したイオンを中性化するために、前記下部電極28には、微細孔、例えば、径が1mm、深さが10mmの形状の孔が多数空けられている。前記上部電極26および下部電極28に印加された電圧の電位差によって加速されたイオンは、下部電極28の微細孔を通過する過程で、電荷交換や電子離脱などによって中性化されて中性粒子ビーム31が生成される。中性粒子ビーム31は、基板33に対してほぼ垂直に照射される。また、前記基板保持台32は冷却装置(図示せず)によって冷却可能であり、さらに、昇降装置(図示せず)によって基板33の高さ、すなわち基板とプラズマの生成部との距離を変更可能となっている。
なお、前記基板処理室30内は、排気ポンプ(図示せず)によって排気されており、排気ガス34は、排気ガス処理装置(図示せず)によって無害化処理されて系外に排出される。
本発明の第二の方法は、例えば、図3に示したような中性粒子ビーム生成装置を用いて、フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、高周波電界の印加と印
加の停止とを交互に繰り返すことによりプラズマを生成し、該プラズマから負イオンまたは正イオンを、個別にあるいは交互に引き出して中性化することにより中性粒子ビームを生成し、該中性粒子ビームを基板に照射して基板処理を行うことを特徴とするプラズマ処
理方法である。
上述したように、本発明者らは、フッ素ガス(F2)のパルス変調プラズマを初めて生
成し、処理ガスとしてフッ素ガス(F2)を用いたパルス変調プラズマ中には、方向性を
持たせることが可能な負イオン(F-)の生成量が格段に多いこと、さらに、方向性を持
たないラジカル(F)の生成量が極めて少ないことを見出した。
しかしながら、本発明の第一の方法で説明した図1のようなパルス変調プラズマ生成装置では、プラズマ生成室と基板処理室が一体となっているために、異方性エッチングは実現できるものの、プラズマ中に生成する荷電粒子およびフォトンの基板への照射による損傷を回避することができないという問題がある。
これに対して、本発明の第二の方法で説明した図3のような中性粒子ビーム生成装置では、フッ素ガス(F2)のパルス変調プラズマから、負イオンまたは正イオンを、個別に
あるいは交互に引き出して中性化することにより、エッチングプロセスに必要な中性粒子ビームを生成し、これを基板に照射するため、プラズマ中に生成する荷電粒子およびフォトンの基板への照射による損傷を抑制した異方性エッチングを実現することができる。
フッ素ガス(F2)のパルス変調プラズマから、負イオンと正イオンとを交互に引き出
す方法としては、例えば、図3における下部電極28に交流電圧を印加する方法が挙げられる。具体的には、図3における上部電極26に−50Vの直流電圧を印加し、下部電極28に100Vの交流電圧を印加することにより、フッ素ガス(F2)のパルス変調プラ
ズマ中の負イオンと正イオンとが交互に加速され、下部電極28を通過して中性粒子ビーム31が生成される。
本発明の第三の方法は、例えば、図3に示したような中性粒子ビーム生成装置を用いて、フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、高周波電界の印加と印
加の停止とを交互に繰り返すことによりプラズマを生成し、該プラズマから負イオンのみを選択的に引き出して中性化することにより中性粒子ビームを生成し、該中性粒子ビームを基板に照射して基板処理を行うことを特徴とするプラズマ処理方法である。
上述したように、負イオンの中性化は、ガス原子・分子に付着した電子の離脱によって進行するため、電荷交換による正イオンの中性化に比べると、低エネルギーで高効率な中性粒子ビームを生成することができる。このように負イオンのみを引き出して中性化した場合、正負両イオンを引き出して中性化する方法と比較して、生成する中性粒子ビームの密度は低下するが、一方で、中性粒子ビームの中性化率が高くなるため、中性粒子ビーム中に残留する荷電粒子が低減される。その結果、プラズマ中に生成している荷電粒子およびフォトンなどの基板への照射による損傷をさらに抑制した異方性エッチングを実現することができる。
処理ガスとしてフッ素ガス(F2)を含むガスを用いてパルス変調プラズマを生成する
方法において、処理ガス中のフッ素ガス(F2)の含有濃度は、プラズマ処理方法等の目
的に応じて任意に設定することができるが、より高効率で高密度のプラズマおよび中性粒子ビームを得るためには、より高濃度のフッ素ガス(F2)を用いることが好ましく、1
00容量%のフッ素ガス(F2)を用いることが特に好ましい。
また、処理ガスとして、フッ素ガス(F2)と同様に非温室効果ガスである塩素ガス(
Cl2)を、フッ素ガス(F2)との混合ガス種として用いることも好ましい。フッ素ガス(F2)と塩素ガス(Cl2)との混合ガスを用いたパルス変調プラズマでは、処理ガス中のフッ素ガス(F2)と塩素ガス(Cl2)との混合比を変化させることによって、F(F
-イオンおよび中性Fビーム)とCl(Cl-イオンおよび中性Clビーム)の混合比を容易に変化させることができ、フッ素(F)と塩素(Cl)の化学的性質の違いや粒子サイズの違いを利用したプラズマ処理またはプラズマエッチング処理を行うことができる。
例えば、ゲート電極ポリシリコンのエッチングにおいて、より高速エッチングが要求されるエッチング初期の段階では、フッ素ガス(F2)濃度を高めてエッチング反応を高速
に進行させ、エッチング反応の最表面がSiO2等の下地膜に近づいて、より選択性の高
いエッチングが必要なエッチング後期の段階では、塩素ガス(Cl2)濃度を高めること
により、フッ素(F)と塩素(Cl)の化学的性質の違いを利用したプロセス上の最適化を行なうことができる。また、フッ素(F)は、塩素(Cl)に比べて小さい粒子であることから、より低損傷のエッチングプロセスを構築できる可能性もある。処理ガス中のフッ素ガスと塩素ガスとの混合比は、プラズマ処理方法またはプラズマエッチング方法の目的に応じて、最適に設定することが好ましい。
フッ素ガス(F2)の供給源としては、高圧充填されたフッ素ガスシリンダ、あるいは
フッ化水素の電気分解反応または金属フッ化物の加熱分解反応を利用したフッ素ガス発生装置などの任意の供給システムを選択して利用することができる。これらの中では、より安全性が高く、かつ、より高純度のフッ素ガス(F2)を供給することができる、固体状
の金属フッ化物の加熱分解反応を利用したシステムが特に好ましい。
フッ素ガス(F2)を含む処理ガスを用いてプラズマを生成する際のプラズマ生成室の
ガス圧力は、プラズマ処理方法等の目的に応じて任意に設定することができるが、0.1〜100Pa、好ましくは0.3〜10Pa、特に好ましくは0.5〜5Paの範囲とすることが望ましい。ガス圧力を上記範囲とすることにより、高効率で高密度のプラズマおよび中性粒子ビームを得ることができる。なお、プラズマ生成室のガス圧力が上記範囲よりも低いと、高密度のプラズマを生成することが困難であり、上記範囲を超えると、プラズマおよび中性粒子ビームの生成効率が低下する傾向にある。
また、高周波電界の印加(ON)と印加の停止(OFF)とを交互に繰り返すことにより、処理ガスをプラズマ化してパルス変調プラズマを生成する方法において、ON時間とOFF時間の組み合わせは、任意に設定することができ、数十μ秒のオーダーでONとOFFを繰り返す方法が一般的である。本発明のように、処理ガスとしてフッ素ガス(F2
)を含むガスを用いる場合には、OFF時間を20〜100μ秒、好ましくは40〜90μ秒、特に好ましくは50〜70μ秒とすることが望ましい。OFF時間が上記範囲よりも短いと負イオンの生成が不十分となる傾向があり、OFF時間が上記範囲を超えるとプラズマ中の電子密度が低下して、続くON時の放電が困難になる現象や、ON時に電子温度が急激に上昇して電子が増殖するなどの現象が生じ、パルス変調の効果が阻害されてしまう傾向にある。
上記のような本発明のプラズマ処理方法は、基板表面を高精度にフッ素化(基板表面へのフッ素侵入深さやフッ素濃度勾配などを精密に制御)するためのフッ素化処理方法や、各種基板表面を低損傷で高精度(異方性・選択性・高速)に微細加工するプラズマエッチング方法などに好適に利用することができる。さらに、半導体デバイスやMEMSデバイスの製造工程において重要なシリコンおよびシリコン化合物のプラズマエッチング技術として好適に利用することができる。なお、前記シリコン化合物としては、酸化珪素、窒化珪素、珪酸塩(例えば、ガラス状の珪酸ナトリウム等)などが挙げられる。
特に、従来から検討されていた六フッ化硫黄ガス(SF6)を用いる方法と比較して、
本発明の方法は、エッチング反応に対して良好なプラズマおよび中性粒子ビームを生成できるため、次世代のプラズマエッチング技術に適用可能なフッ素(F-イオンおよび中性
Fビーム)源として極めて有望な方法である。そのため、本発明は、塩素(Cl-イオン
および中性Clビーム)源として利用する塩素ガス(Cl2)のパルス変調プラズマと組
み合わせることにより、フッ素(F)および塩素(Cl)の化学的性質や粒子サイズの違い等を利用して、最適なプロセスを構築することを初めて可能とした。
例えば、各エッチングプロセスのエッチング対象物質やエッチング目的に応じて、中性Fビームと中性Clビームとを使い分けたり、あるいは、これらを混合することによって、エッチング速度や選択性を高めることができる。それゆえ、次世代の新プロセスに利用される新規材料(Hf系のhigh−kや貴金属などを含むあらゆる金属化合物)をエッチングするプロセスへの適用可能性も充分に高いものである。
したがって、本発明の微細加工技術を利用することにより、これまでにない超高性能半導体デバイスや新規のMEMSデバイスを製作することができる。
さらに、処理ガスとして、非温室効果ガスであり、かつ、安価なフッ素ガス(F2)を
用いることから、環境調和型で実用性も高いプロセスであるため、その技術的な価値は極めて大きいものである。
〔実施例〕
以下、実施例に基づいて本発明をより具体的に説明するが、本発明はこれら実施例に何ら限定されるものではない。
[実施例1]
図4に示したプラズマ・中性粒子ビーム分析装置を用いて、フッ素ガス(F2)から連
続プラズマ、パルス変調プラズマおよび中性粒子ビームを生成し、QMS(四重極質量分析計)、マイクロ波干渉計、発光分光計、ファラデーカップおよびカロリーメーターを用いて、生成した連続プラズマ、パルス変調プラズマおよび中性粒子ビームの分析を行った。
まず、図4のプラズマ・中性粒子ビーム分析装置の構成について、以下に説明する。図4に示したプラズマ・中性粒子ビーム分析装置における石英製プラズマ生成室42は、図1に示したパルス変調プラズマ生成装置における石英製プラズマ生成・基板処理室2と同様の構造を有している。前記プラズマ生成室42には、処理ガス41を供給するポートが設置されており、外周には、パルス変調可能なプラズマ生成用高周波電源44が接続された誘導結合プラズマ生成用アンテナ43がコイル状に巻かれている。前記高周波電源44から前記アンテナ43に、例えば、放電周波数として13.56MHzのRFバイアスを印加することによって、プラズマ45(連続プラズマおよびパルス変調プラズマ)を生成することができる。
また、前記プラズマ生成室42内の上下部分には、カーボン製イオン加速用上部電極46およびカーボン製イオン加速用下部電極(ビーム引き出し用電極)48が設置されており、それぞれ、電圧印加用電源(上部電極用)47および電圧印加用電源(下部電極用)49が接続されている。
前記上部電極46および下部電極48に印加する電圧の電位差を利用することによって、プラズマ45内に生成したイオン(正負に荷電した粒子)が、ステンレス製計測室50内に設置した計測機器52の方向に向かってほぼ垂直に加速され、計測機器52に対してほぼ垂直に照射される。
プラズマ45内から加速して引き出したイオンを中性化するために、前記下部電極48には、多数の微細孔(径が1mmで深さが10mmの形状の孔)が電極面積の50%相当
空けられている。前記上部電極46および下部電極48に印加された電圧の電位差によって加速されたイオンは、下部電極48の微細孔を通過する過程で、電荷交換や電子離脱等によって中性化されて中性粒子ビーム51が生成される。中性粒子ビーム51は、計測機器52に対してほぼ垂直に照射される。
ステンレス製計測室50内は、排気用ターボ分子ポンプ(図示せず)によって排気されており、排気ガス53は排気ガス処理装置(図示せず)によって無害化処理されて系外に排出される。
<実験1>
図4に示したプラズマ・中性粒子ビーム分析装置におけるプラズマ生成室42に、処理ガス41として100容量%のフッ素ガス(F2)を30ml/minで導入し、前記高
周波電源44から前記アンテナ43に、放電周波数として13.56MHzのRFバイアス(500Wまたは1kW)を連続で印加することにより、連続プラズマを生成した。このとき、前記上部電極46および下部電極48は、電圧を印加せず接地の状態とした。なお、プラズマ生成時におけるプラズマ生成室42内の圧力は1Paとした。また、前記フッ素ガス(F2)としては、金属フッ化物であるK3NiF7を充填した容器を350℃に
加熱することによって、K3NiF7の加熱分解反応により発生したフッ素ガス(F2)を
用いた。
前記計測室50内で、下部電極48の下部約200mmの位置に、QMSのガス取入れ口が配置されるようにQMS(計測機器52)を設置し、プラズマ45の計測を行った。このように、上部電極46および下部電極48に電圧を印加せず接地の状態とした場合、プラズマ生成室42内に生成したプラズマ45は、プラズマ組成を維持したまま、ほとんど中性化されることなく下部電極48を通過してQMSに流入する。前記計測室50内は、排気用ターボ分子ポンプによって高速排気され、排気ガス53は排気ガス処理装置によって無害化処理されて系外に排出した。
以上の方法により、フッ素ガス(F2)の連続プラズマ中の負イオンをQMS計測によ
って分析した。RFバイアス=500Wの分析結果を図5に、RFバイアス=1kWの分析結果を図6に示す。
<実験2>
次に、図4に示したプラズマ・中性粒子ビーム分析装置におけるプラズマ生成室42に、処理ガス41として100容量%のフッ素ガス(F2)を30ml/minで導入し、
高周波電源44からアンテナ43に、放電周波数として13.56MHzのRFバイアス=2kW(ON時間中)または500W(ON時間中)をパルス状に印加してパルス変調プラズマを生成した。このとき、パルス変調におけるON時間/OFF時間は、50μ秒/50μ秒とした。このようにアンテナ43に印加する電圧をパルス状に変調してパルス変調プラズマを生成した以外は、実施例1の実験1と同様にして、フッ素ガス(F2)の
パルス変調プラズマ中の負イオンをQMS計測によって分析した。RFバイアス=2kW(ON時間中)の分析結果を図5に、RFバイアス=1kW(ON時間中)の分析結果を図6に示す。
図5および図6から明らかなように、フッ素ガス(F2)の連続プラズマでは、F-イオンの生成量が少量であるのに対して、フッ素ガス(F2)のパルス変調プラズマでは、F-イオンの生成量が顕著に増大している。
<実験3>
図4に示したプラズマ・中性粒子ビーム分析装置におけるプラズマ生成室42に、処理
ガス41として100容量%のフッ素ガス(F2)を30ml/minで導入し、前記高
周波電源44から前記アンテナ43に、放電周波数として13.56MHzのRFバイアスを連続で印加することにより、連続プラズマを生成した。このとき、前記上部電極46および下部電極48は、電圧を印加せず接地の状態とした。なお、プラズマ生成時におけるプラズマ生成室42内の圧力は1Paとした。また、前記フッ素ガス(F2)としては
、金属フッ化物であるK3NiF7を充填した容器を350℃に加熱することによって、K3NiF7の加熱分解反応により発生したフッ素ガス(F2)を用いた。
プラズマ生成室42の外部にマイクロ波干渉計(図示せず)を設置して、フッ素ガス(F2)の連続プラズマの電子密度を計測した。アンテナ43に印加する高周波電界の出力
を変化させてプラズマを生成し、フッ素ガス(F2)の連続プラズマの電子密度について
RF出力依存性を測定した。分析結果を図7に示す。
図7中には、比較のため後述する比較例1の実験2で行った六フッ化硫黄ガス(SF6
)の結果についても示してある。図7より、フッ素ガス(F2)の連続プラズマでは、六
フッ化硫黄ガス(SF6)と比較して電子密度が大きく、RF出力を400W程度とした
場合でも1.0×1011/cm3以上となることがわかった。さらにRF出力の増加に伴
い、電子密度は単調に増大することがわかった。連続プラズマの電子密度が高いことは、パルス変調プラズマにおける高周波電界のON時間中の電子密度が高いことを示している。
したがって、前述したように、パルス変調プラズマでは、ON時間中に生成した高密度の電子が、続く高周波電界のOFF時間中にフッ素ガス(F2)に解離性付着することに
よって多量の負イオン(F-)を生成することが期待される。
<実験4>
図4に示したプラズマ・中性粒子ビーム分析装置におけるプラズマ生成室42に、処理ガス41として、フッ素ガス(F2)を30ml/minおよびアルゴンガスを1.5m
l/minで導入し、前記高周波電源44から前記アンテナ43に、放電周波数として13.56MHzのRFバイアスを連続で印加することにより、連続プラズマを生成した。このとき、前記上部電極46および下部電極48は、電圧を印加せず接地の状態とした。なお、プラズマ生成時におけるプラズマ生成室42内の圧力は1Paとした。また、前記フッ素ガス(F2)としては、金属フッ化物であるK3NiF7を充填した容器を350℃
に加熱することによって、K3NiF7の加熱分解反応により発生したフッ素ガス(F2
を用いた。
プラズマ生成室42の外部に発光分光計(図示せず)を設置して、フッ素ガス(F2
の連続プラズマの発光スペクトルを計測した。アンテナ43に印加する高周波電界の出力を変化させてプラズマを生成し、フッ素ガス(F2)の連続プラズマの発光スペクトルに
ついてRF出力依存性を測定した。各プラズマ条件で得られた発光スペクトルより、フッ素ラジカル(F)の発光ピーク(703.7nm)に対するアルゴンラジカル(Ar)の発光ピーク(750.4nm)の強度比[IF(703.7nm)/IAr(750.4nm)]を算出した。IF(703.7nm)/IAr(750.4nm)値の相互比較によって、フッ素ラジカル(F)量の相対比較が可能である。以上の方法は、一般に発光アクチノメトリと呼ばれる分析手法である。分析結果を図8に示す。
図8には、比較のため後述する比較例1の実験3で行った六フッ化硫黄ガス(SF6
の結果についても示してある。図8より、フッ素ガス(F2)の連続プラズマでは、IF
(703.7nm)/IAr(750.4nm)の値は六フッ化硫黄ガス(SF6)に比
べて非常に小さく、RF出力を300〜1000Wと増加した場合でも、IF(703.
7nm)/IAr(750.4nm)はほぼ一定の値を示すことがわかった。すなわち、フッ素ガス(F2)の連続プラズマでは、計測を行ったRF出力領域において、フッ素(
F)ラジカル量が非常に少ないことがわかった。
<実験5>
図4に示したプラズマ・中性粒子ビーム分析装置における上部電極46に−100Vの直流電圧を印加し、下部電極48に−50Vの直流電圧を印加した以外は、実施例1の実験2と同様の方法で、フッ素ガス(F2)のパルス変調プラズマを生成した。
前記上部電極46および下部電極48に、このような電位差を設けることによって、パルス変調プラズマ内に生成している多量の負イオン(F-)が、下部電極48の方向にほ
ぼ垂直に加速され、下部電極48の微細孔を通過する過程で、付着電子の離脱によって中性化されて中性粒子ビーム51が生成され、計測室50内のQMS(計測機器52)に流入する。
以上の方法により、フッ素ガス(F2)のパルス変調プラズマ中の負イオンを選択的に
引き出して、これを中性化して生成した中性粒子ビーム中に残留する(=中性化されなかった)負イオンを、QMS計測により分析した結果を図10に示す。比較のため、実施例1の実験2において分析したフッ素ガス(F2)のパルス変調プラズマの負イオン分析結
果についても図10に示す。
図10から明らかなように、フッ素ガス(F2)のパルス変調プラズマから負イオンを
選択的に引き出して、これを中性化することにより生成した中性粒子ビームには、中性化されずに残留する負イオン(F-)がほとんど含まれていない。すなわち、この結果は、
フッ素ガス(F2)のパルス変調プラズマ中の負イオンを選択的に引き出して、これを中
性化して生成した中性粒子ビームの中性化率が極めて高い(高効率である)ことを示している。
<実験6>
図4における計測室50内に設置する計測機器52として、ファラデーカップを用い、ファラデーカップのガス取入れ口の位置が、下部電極48の下部約20mmの位置に配置されるようにファラデーカップ(計測機器52)を設置した以外は、実施例1の実験5と同様の方法により、フッ素ガス(F2)のパルス変調プラズマ中の負イオンを選択的に引
き出して、これを中性化して生成した中性粒子ビーム中に残留する(=中性化されなかった)負イオンの電流密度をファラデーカップを用いて測定した。その結果、中性粒子ビーム中の残留負イオン電流密度は、検出可能な下限値(0.4μA/cm2)未満であり、
極めて低いことが確認された。
QMSおよびファラデーカップの計測結果から、フッ素ガス(F2)のパルス変調プラ
ズマでは、多量のF-イオンを生成すること、また、プラズマ中に多量に生成されたF-イオンは高効率に中性化することが可能であり、ほぼ100%に近い中性化率を実現していることが明らかとなった。
<実験7>
図4における計測室50内に設置する計測機器52として、カロリーメーターを設置したこと以外は、実施例1の実験5と同様の方法により、フッ素ガス(F2)のパルス変調
プラズマ中の負イオンを選択的に引き出して、これを中性化して生成した中性粒子ビームのフラックスをカロリーメーターにより計測した。カロリーメーターに流入したビームの運動エネルギーは、カロリーメーターでほぼ完全に熱変換されると考えられるため、カロリーメーターの出力電圧の変化(ΔV/15秒)を中性粒子ビームのフラックスとした。
結果を図11に示す。
図11には、比較のため後述する比較例1の実験4で行った六フッ化硫黄ガス(SF6
)の結果についても示してある。図11から明らかなように、フッ素ガス(F2)のパル
ス変調プラズマの負イオンを選択的に引き出して、これを中性化して生成した中性粒子ビームのフラックスは、六フッ化硫黄ガス(SF6)に比べて5倍以上大きいことがわかっ
た。
[実施例2]
フッ素ガス(F2)のパルス変調プラズマによって基板のプラズマエッチングを行い、
シリコン(Si)のエッチング速度を測定し、エッチング形状を観察した。
<実験1>
図2に示したパルス変調プラズマ生成装置を用いて、プラズマ生成・基板処理室62に、処理ガス61として実施例1で用いたものと同じ100容量%のフッ素ガス(F2)を
30ml/minで導入し、高周波電源64からアンテナ63に、放電周波数として13.56MHzのRFバイアス(1kW)を印加して連続プラズマ65を生成した。
カーボン製イオン加速用電極68には、電圧印加用電源69より1MHzのRFバイアスを出力50Wで印加した。このようにカーボン製イオン加速用電極68にRFバイアスを印加することによって、プラズマ65内に生成している正負イオンが基板保持台70の方向にほぼ垂直に加速され、基板に照射される。
基板保持台70を、プラズマ生成部の下部50mmの位置に配置し、−20℃に冷却した。基板保持台70上には、表面にエッチングマスクとしてアルミニウム薄膜が堆積されたシリコン(Si)基板を設置して、前記プラズマ65によって基板のプラズマエッチングを行った。エッチング速度は、エッチング処理時間のみを変化させて複数回のエッチング処理を行って、段差測定装置を用いてエッチング深さを計測して算出した。実験で得られたエッチング速度を表1に示す。
<実験2>
高周波電源64からアンテナ63に、放電周波数として13.56MHzのRFバイアス=1kW(ON時間中)をパルス状に印加してパルス変調プラズマ65を生成した以外は、実施例2の実験1と同様の方法で基板のプラズマエッチングを行った。このとき、パルス変調におけるON時間/OFF時間は、50μ秒/50μ秒とした。実験で得られたエッチング速度を表1に示す。また、エッチング形状を観察したSEM(走査電子顕微鏡)像を図9に示す。
表1より、フッ素ガス(F2)の連続プラズマ(RF出力=1kw)によるエッチング
速度に比べて、パルス変調プラズマ(RF出力=1kW(ON時間中))のエッチング速度が、実質のプラズマパワーが半分(0.5倍)であるにも係わらず約1.5倍も大きくなっていることがわかった。また、図9より、Siのエッチングが、側壁保護膜の形成を必要とせずに垂直に進行できることが実証された。さらに、これらの結果より、フッ素ガス(F2)のプラズマをパルス変調することによりプラズマ中に多量に生成した負イオン
(F-)が、Siのエッチングに大きく寄与していることが明らかとなった。フッ素ガス
(F2)のパルス変調プラズマによるエッチングでは、良好な垂直加工性を維持しながら
、エッチング速度は1μm/minを超過しており、これらの結果は、MEMS等の製造工程で要求される加工条件を満足するものである。
[実施例3]
フッ素ガス(F2)のパルス変調プラズマから負イオンを選択的に引き出して、これを
中性化して生成した中性粒子ビームによって、基板のプラズマエッチングを行った。中性粒子ビームの組成を調べるために、Fラジカルの付着係数が異なるビーム引き出し電極を用いて、ポリシリコン(Poly−Si)およびSiO2のエッチング速度を測定し、さ
らに、Poly−Siのエッチング形状を観察した。
<実験1>
図3に示した中性粒子ビーム生成装置を用いて、プラズマ生成室22に、処理ガス21として実施例1で用いたものと同じ100容量%のフッ素ガス(F2)を30ml/mi
nで導入し、高周波電源24からアンテナ23に、放電周波数として13.56MHzのRFバイアス=1kW(ON時間中)をパルス状に印加してパルス変調プラズマを生成した。このとき、パルス変調におけるON時間/OFF時間は、50μ秒/50μ秒とした。
前記上部電極26に−100Vの直流電圧を印加し、下部電極28には−50Vの直流電圧を印加した。このような電位差を設けることによって、パルス変調プラズマ内に生成している負イオン(F-)が、下部電極28の方向にほぼ垂直に加速され、下部電極28
の微細孔を通過する過程で、付着電子の離脱によって中性化されて中性粒子ビーム31が生成され、ステンレス製基板処理室30内に流入する。
前記基板処理室30内の基板保持台32を、下部電極28の下部20mmの位置に配置し、−20℃に冷却した。基板保持台32上に、表面にPoly−Si膜またはSiO2
膜が堆積された基板を設置して、前記中性粒子ビーム31によって、基板のプラズマエッチングを行い、Poly−SiおよびSiO2のエッチング速度を測定した。エッチング
速度は、エッチング処理時間のみを変化させて複数回のエッチング処理を行って、段差測定装置を用いてエッチング深さを計測することによって計算した。
次に、下部電極28の表面にアルミナを溶射してコーティングしたビーム引き出し用電極を用いた以外は、上記と同様の条件でPoly−SiおよびSiO2のエッチング速度
を測定した。これらの測定結果を表2にまとめて示す。
カーボン電極表面にアルミナを溶射することにより、ビーム引き出し用電極表面のFラジカルの付着および反応が抑制される。そのため、ビーム引き出し用電極として、アルミナ溶射電極を用いた場合には、プラズマ中に存在するFラジカルが電極との反応によって消失することがほとんどなく、そのまま中性粒子ビームに混入することとなる。
したがって、カーボン製電極を用いた場合およびアルミナ溶射電極を用いた場合のエッチング速度を測定することによって、基板のエッチング特性に対してFラジカルが寄与している割合を推測することが可能となる。つまり、中性粒子ビーム中にラジカルが多い場合には、ラジカルの消失を抑制したアルミナ溶射電極を用いた場合のエッチング速度が、ラジカルを消失するカーボン電極を用いた場合のエッチング速度に比べて、顕著に大きくなると考えられる。
また、Poly−Siは、中性Fビームだけではなく拡散するFラジカルによっても自発的にエッチングされるのに対して、SiO2のエッチングは、運動エネルギーの大きな
中性Fビームによる寄与が大きいと考えられる。そのため、Poly−SiおよびSiO2の両者のエッチング速度を測定することによって、中性Fビーム中に混入するFラジカ
ルの割合を推測することができる。つまり、中性粒子ビーム中にラジカルが多い場合には、Poly−Siのエッチング速度は大きくなり、一方でSiO2のエッチング速度は小
さくなると考えられる。
表2の結果から、フッ素ガス(F2)のパルス変調プラズマから負イオンを選択的に引
き出して、これを中性化して生成した中性粒子ビームにおいて、ビーム引き出し用電極としてカーボン製電極を用いた場合と、アルミナ溶射電極を用いた場合とを比較すると、Poly−Siのエッチング速度差が大きくないことがわかった。すなわち、フッ素ガス(F2)のパルス変調プラズマから負イオンを選択的に引き出して、これを中性化して生成
した中性粒子ビーム中には、方向性を持たないFラジカルの生成量が少ないことが明らかになった。同時に、この結果は、中性化した中性粒子ビーム中ばかりでなく、フッ素ガス(F2)のパルス変調プラズマ自体の中に、Fラジカルの生成量が少ないことを示してい
る。
<実験2>
図3に示した中性粒子ビーム生成装置を用いて、実施例3の実験1と同様の方法によりPoly−Siをエッチングし、SEM(走査電子顕微鏡)観察を行って、エッチング形状を評価した。エッチング形状評価のためのサンプルは、Si基板上に熱酸化処理によってSiO2膜(300nm)を形成し、その上に150nmのポリシリコン(Poly−
Si)膜を堆積させたものを用いた。エッチングマスクとして、Poly−Siの表面には反射防止膜およびレジストを塗布して露光・現像処理を施した。エッチングの形状評価を目的として、エッチング処理時間は、20%分のオーバーエッチングとなる条件、すなわち、Poly−Siの膜厚150nmの1.2倍である180nmをエッチング可能な時間に設定した。ビーム引き出し用電極として、カーボン電極およびアルミナ溶射電極の
双方を用いた結果を、それぞれ図12および図13に示す。
図12および図13に示したSEM観察結果から明らかなように、フッ素ガス(F2
のパルス変調プラズマから負イオンを選択的に引き出して、これを中性化して生成した中性粒子ビームでは、高精度な異方性エッチングが実現されており、中性粒子ビーム中には、方向性を持たないFラジカルの生成量が少ないと推測した実施例3の実験1の結果が実証された。
[実施例4]
フッ素ガス(F2)のパルス変調プラズマから負イオンを選択的に引き出して、これを
中性化して生成した中性粒子ビームによって、基板のプラズマエッチングを行った。次世代のゲート長である50nmのポリシリコン(Poly−Si)膜を堆積させた基板を用いた。
図3に示した中性粒子ビーム生成装置を用いて、下部電極28を接地とした以外は実施例3の実験1と同様の方法によりPoly−Siをエッチングした。SEM(走査電子顕微鏡)観察を行って、エッチング速度およびエッチング形状の評価を行った。ビーム引き出し用電極28はカーボン電極とした。結果を図14に示す。
図14から明らかなように、フッ素ガス(F2)のパルス変調プラズマから負イオンを
選択的に引き出して、これを中性化して生成した中性粒子ビームによって、50nmのポリシリコン(Poly−Si)パターンの形成に成功することができた。また、エッチング速度は29.4nm/minであり、ゲート電極を加工する上で実用的な速度を得ることができた。
[比較例1]
処理ガスとして六フッ化硫黄ガス(SF6)を用いたパルス変調プラズマ、およびパル
ス変調プラズマ中から引出した中性粒子ビームを生成し、各種計測機器による分析を行った。前述した実施例1との比較を行い、処理ガスとしてフッ素ガス(F2)を用いた場合
の優位性を確認した。
<実験1>
処理ガスとして六フッ化硫黄ガス(SF6)を用いた以外は、実施例1の実験2と同様
の方法により、六フッ化硫黄ガス(SF6)のパルス変調プラズマ中の負イオンを、QM
S計測により分析した。分析結果を図15に示す。図15には、比較のため、前述した実施例1の実験2において分析したフッ素ガス(F2)のパルス変調プラズマの負イオン分
析結果についても示す。
図15から明らかなように、六フッ化硫黄ガス(SF6)のパルス変調プラズマでは、
-イオンがほとんど生成せず、フッ素ガス(F2)のパルス変調プラズマと比較して、F-イオン量が格段に少ない。
<実験2>
処理ガスとして六フッ化硫黄ガス(SF6)を用いた以外は、実施例1の実験3と同様
の方法により、六フッ化硫黄ガス(SF6)の連続プラズマの電子密度を測定した。分析
結果を図7に示す。
図7中には、比較のため前述した実施例1の実験3で行ったフッ素ガス(F2)の結果
についても示してある。図7より、フッ素ガス(F2)の連続プラズマでは、六フッ化硫
黄ガス(SF6)と比較して電子密度が大きいこと、すなわちフッ素ガス(F2)のイオン化効率が六フッ化硫黄ガス(SF6)に比べて高いことが確認された。
<実験3>
処理ガスとして、六フッ化硫黄ガス(SF6)を30ml/minおよびアルゴンガス
を1.5ml/minで用いた以外は、実施例1の実験4と同様の方法により、六フッ化硫黄ガス(SF6)の連続プラズマのフッ素ラジカル(F)量を測定した。分析結果を図
8に示す。
図8中には、比較のため前述した実施例1の実験4で行ったフッ素ガス(F2)の結果
についても示してある。図8より、フッ素ガス(F2)の連続プラズマは六フッ化硫黄ガ
ス(SF6)に比べて、IF(703.7nm)/IAr(750.4nm)の値は非常
に小さいこと、すなわちフッ素(F)ラジカル量が少ないことが確認された。
<実験4>
処理ガスとして六フッ化硫黄ガス(SF6)を用いた以外は、実施例1の実験7と同様
の方法にて、六フッ化硫黄ガス(SF6)のパルス変調プラズマ中の負イオンを選択的に
引き出して、これを中性化して生成した中性粒子ビームのフラックスを計測した。結果を図11に示した。
図11には、比較のため前述した比較例1の実験7で行ったフッ素ガス(F2)の結果
についても示してある。図11から明らかなように、フッ素ガス(F2)のパルス変調プ
ラズマの負イオンを選択的に引き出して、これを中性化して生成した中性粒子ビームのフラックスは、六フッ化硫黄ガス(SF6)に比べて5倍以上大きいことが確認された。
[比較例2]
処理ガスとして六フッ化硫黄ガス(SF6)を用いたパルス変調プラズマによって基板
のプラズマエッチングを行い、シリコン(Si)のエッチング速度を測定し、エッチング形状を観察した。前述した実施例2との比較を行い、処理ガスとしてフッ素ガス(F2
を用いた場合の優位性を確認した。
<実験1>
処理ガスとして六フッ化硫黄ガス(SF6)を用いた以外は、実施例2の実験1と同様
の方法で、六フッ化硫黄ガス(SF6)の連続プラズマを生成して、シリコン(Si)基
板のプラズマエッチングを行った。エッチング速度は、エッチング処理時間のみを変化させて複数回のエッチング処理を行って、段差測定装置を用いてエッチング深さを計測して算出した。得られたエッチング速度を表3に示す。
<実験2>
処理ガスとして六フッ化硫黄ガス(SF6)を用いた以外は、実施例2の実験2と同様
の方法でシリコン(Si)基板のパルス変調プラズマによるエッチングを行った。実験で得られたエッチング速度を表3に示す。また、エッチング形状を観察したSEM(走査電子顕微鏡)像を図16に示す。
表3より、六フッ化硫黄ガス(SF6)の連続プラズマ(RF出力=1kw)によるエ
ッチング速度に比べて、パルス変調プラズマ(RF出力=1kW(ON時間中))のエッチング速度が小さくなっていることがわかった。このことは、前述した実施例2の実験1および実験2(表1)の結果と大きく異なっている。六フッ化硫黄ガス(SF6)のパル
ス変調プラズマのエッチング速度が、連続プラズマによるエッチング速度に比べて小さくなるのは、六フッ化硫黄ガス(SF6)プラズマのエッチング反応において主に寄与して
いる反応種がラジカルであって、そのラジカルの生成量が、連続プラズマに比べてパルス変調プラズマでは小さくなっているためであると考えられる。また、図16より、六フッ化硫黄ガス(SF6)のパルス変調プラズマでは、Siのエッチングにおいて大きなアン
ダーカット(サイドエッチ)を生じること、すなわち等方性のエッチングが進行していることが確認された。
[比較例3]
<実験1>
処理ガスとして六フッ化硫黄ガス(SF6)を用いた以外は、実施例3の実験1と同様
の方法により、六フッ化硫黄ガス(SF6)のパルス変調プラズマを生成し、パルス変調
プラズマ中の負イオンを選択的に引き出して、これを中性化して生成した中性粒子ビームによるPoly−SiおよびSiO2のエッチング速度を測定した。その結果を表4に示
す。
表4から明らかなように、六フッ化硫黄ガス(SF6)のパルス変調プラズマから負イ
オンを選択的に引き出して、これを中性化して生成した中性粒子ビームにおいて、ビーム引き出し用電極としてカーボン製電極を用いた場合と、アルミナ溶射電極を用いた場合とを比較すると、Poly−Siのエッチング速度差が極めて大きい。すなわち、この結果は、従来の六フッ化硫黄ガス(SF6)のパルス変調プラズマは、フッ素ガス(F2)のパルス変調プラズマに比べて、プラズマ中のFラジカルの生成量が極めて多いことを示している。
また、表2と表4を比較すると、運動エネルギーの大きな中性Fビームによる寄与が大
きいと考えられるSiO2のエッチング速度は、カーボン製電極を用いた場合およびアル
ミナ溶射電極を用いた場合のいずれにおいても、フッ素ガス(F2)を用いた場合のエッ
チング速度が、六フッ化硫黄ガス(SF6)を用いた場合のエッチング速度を上回ってい
る。この結果は、フッ素ガス(F2)のパルス変調プラズマは、従来の六フッ化硫黄ガス
(SF6)のパルス変調プラズマに比べて、中性Fビームの生成効率が格段に優れている
ことを示している。
<実験2>
処理ガスとして六フッ化硫黄ガス(SF6)を用いた以外は、実施例3の実験2と同様
の方法によりPoly−Siをエッチングし、SEM(走査電子顕微鏡)観察を行って、エッチング形状を評価した。ビーム引き出し用電極として、カーボン電極を用いたときの結果を図17に、アルミナ溶射電極を用いたときの結果を図18に示す。
図17および図18に示したSEM像には、エッチングマスクの下に、明らかなサイドエッチングが観察された。このようなサイドエッチングは、中性粒子ビーム中に混入するラジカル(方向性を持たないF原子)量が多いことを示している。特に、アルミナを溶射した電極を使用した場合にサイドエッチングが顕著に表れている。この結果は、カーボン電極を用いた場合に比べて、ラジカル量が多いことを示している。
したがって、六フッ化硫黄ガス(SF6)のパルス変調プラズマから負イオンを選択的
に引き出して、これを中性化して生成した中性粒子ビームでは、エッチング形状が等方性となり、異方性エッチングを達成できないことが明らかとなった。すなわち、六フッ化硫黄ガス(SF6)を用いた中性粒子ビーム中には、方向性を持たないFラジカルの生成量
が極めて多いと推測した比較例3の実験1の推測を裏付けるものである。
以上の実験結果から、処理ガスとしてフッ素ガス(F2)を用いたパルス変調プラズマ
は、従来の六フッ化硫黄ガス(SF6)を用いたパルス変調プラズマと比較して、F-イオンの生成量が格段に多いこと、また、微細加工の障害となる方向性を持たないFラジカルの生成量が格段に少ないことが明らかになった。さらに、処理ガスとしてフッ素ガス(F2)を用いたパルス変調プラズマから引き出した中性粒子ビームは、方向性が揃った中性
のFビームであり、異方性のエッチングを実現できることが明らかになった。
[比較例4]
処理ガスとして六フッ化硫黄ガス(SF6)を用いたパルス変調プラズマから負イオン
を選択的に引き出して、これを中性化して生成した中性粒子ビームによって、基板のプラズマエッチングを行った。次世代のゲート長である50nmのポリシリコン(Poly−Si)膜を堆積させた基板を用いた。前述した実施例4との比較を行い、処理ガスとしてフッ素ガス(F2)を用いた場合の優位性を確認した。結果を図19に示す。
図19より、六フッ化硫黄ガス(SF6)のパルス変調プラズマから負イオンを選択的
に引き出して、これを中性化して生成した中性粒子ビームでは、明らかに大きなアンダーカット(サイドエッチ)が確認され、50nmレベルのポリシリコン(Poly−Si)パターンの形成はできないことがわかった。また、エッチング速度は18.0nm/minであり、上述したフッ素ガス(F2)を用いた場合(実施例4)のエッチング速度(2
9.4nm/min)に比べても小さいことがわかった。
本発明に係るプラズマ処理方法およびプラズマエッチング方法を実施可能なパルス変調プラズマ生成装置の一例を示す概略図(例1)である。 本発明に係るプラズマ処理方法およびプラズマエッチング方法を実施可能なパルス変調プラズマ生成装置の一例を示す概略図(例2)である。 本発明に係るプラズマ処理方法およびプラズマエッチング方法を実施可能な中性粒子ビーム生成装置の一例を示す概略図である。 実施例1および比較例1の実験に使用したプラズマ・中性粒子ビーム分析装置の概略図である。 実施例1において測定した、処理ガスとしてフッ素ガス(F2)を用いた場合の連続プラズマ(RFバイアス=500W)およびパルス変調プラズマ(RFバイアス=2kW(ON時間中))中の負イオンのQMSスペクトルである。 実施例1において測定した、処理ガスとしてフッ素ガス(F2)を用いた場合の連続プラズマ(RFバイアス=1kW)およびパルス変調プラズマ(RFバイアス=1kW(ON時間中))中の負イオンのQMSスペクトルである。 実施例1および比較例1において測定した、処理ガスとしてフッ素ガス(F2)を用いた場合および六フッ化硫黄ガス(SF6)を用いた場合の連続プラズマ中の電子密度の測定結果である。 実施例1および比較例1において測定した、処理ガスとしてフッ素ガス(F2)を用いた場合および六フッ化硫黄ガス(SF6)を用いた場合の連続プラズマ中のFラジカル量の測定結果である。 実施例2において観察した、処理ガスとしてフッ素ガス(F2)を用いて生成したパルス変調プラズマによりエッチングした基板(アルミパターンの付いたシリコン表面)のSEM観察像である。 実施例1において測定した、処理ガスとしてフッ素ガス(F2)を用いて生成したパルス変調プラズマ中の負イオン、および、該プラズマ中から負イオンを選択的に引き出して生成した中性粒子ビーム中の残留負イオンのQMSスペクトルである。 実施例1および比較例1において測定した、処理ガスとしてフッ素ガス(F2)を用いた場合および六フッ化硫黄ガス(SF6)を用いた場合のパルス変調プラズマ中から、負イオンを選択的に引き出して生成した中性粒子ビームの総フラックスの測定結果である。 実施例3において観察した、処理ガスとしてフッ素ガス(F2)を用いて生成したパルス変調プラズマ中から、カーボン製ビーム引き出し電極を用いて負イオンを選択的に引き出した場合の中性粒子ビームによりエッチングした基板(レジストパターンの付いたポリシリコン表面)のSEM観察像である。 実施例3において観察した、処理ガスとしてフッ素ガス(F2)を用いて生成したパルス変調プラズマ中から、表面にアルミナを溶射したカーボン製ビーム引き出し電極を用いて負イオンを選択的に引き出した場合の中性粒子ビームによりエッチングした基板(レジストパターンの付いたポリシリコン表面)のSEM観察像である。 実施例4において観察した、処理ガスとしてフッ素ガス(F2)を用いて生成したパルス変調プラズマ中から、負イオンを選択的に引き出して生成した中性粒子ビームによりエッチングした基板(ライン幅が50nmのレジストパターンの付いたポリシリコン表面)のSEM観察像である。 実施例1および比較例1において測定した、処理ガスとしてフッ素ガス(F2)を用いた場合および六フッ化硫黄ガス(SF6)を用いた場合のパルス変調プラズマ中の負イオンのQMSスペクトルである。 比較例2において観察した、処理ガスとして六フッ化硫黄ガス(SF6)を用いて生成したパルス変調プラズマによりエッチングした基板(アルミパターンの付いたシリコン表面)のSEM観察像である。 比較例3において観察した、処理ガスとして六フッ化硫黄ガス(SF6)を用いて生成したパルス変調プラズマ中から、カーボン製ビーム引き出し電極を用いて負イオンを選択的に引き出した場合の中性粒子ビームによりエッチングした基板(レジストパターンの付いたポリシリコン表面)のSEM観察像である。 比較例3おいて観察した、処理ガスとして六フッ化硫黄ガス(SF6)を用いて生成したパルス変調プラズマ中から、表面にアルミナを溶射したカーボン製ビーム引き出し電極を用いて負イオンを選択的に引き出した場合の中性粒子ビームによりエッチングした基板(レジストパターンの付いたポリシリコン表面)のSEM観察像である。 比較例4において観察した、処理ガスとして六フッ化硫黄ガス(SF6)を用いて生成したパルス変調プラズマ中から、負イオンを選択的に引き出して生成した中性粒子ビームによりエッチングした基板(ライン幅が50nmのレジストパターンの付いたポリシリコン表面)のSEM観察像である。
符号の説明
1 処理ガス
2 石英製プラズマ生成・基板処理室
3 誘導結合プラズマ生成用アンテナ
4 パルス変調可能なプラズマ生成用高周波電源
5 プラズマ
6 カーボン製イオン加速用上部電極
7 電圧印加用電源(上部電極用)
8 カーボン製イオン加速用下部電極
9 電圧印加用電源(下部電極用)
10 基板保持台
11 基板
12 排気ガス
21 処理ガス
22 石英製プラズマ生成室
23 誘導結合プラズマ生成用アンテナ
24 パルス変調可能なプラズマ生成用高周波電源
25 プラズマ
26 カーボン製イオン加速用上部電極
27 電圧印加用電源(上部電極用)
28 カーボン製イオン加速用下部電極(ビーム引き出し用電極)
29 電圧印加用電源(下部電極用)
30 ステンレス製基板処理室
31 中性粒子ビーム
32 基板保持台
33 基板
34 排気ガス
41 処理ガス
42 石英製プラズマ生成室
43 誘導結合プラズマ生成用アンテナ
44 パルス変調可能なプラズマ生成用高周波電源
45 プラズマ
46 カーボン製イオン加速用上部電極
47 電圧印加用電源(上部電極用)
48 カーボン製イオン加速用下部電極(ビーム引き出し用電極)
49 電圧印加用電源(下部電極用)
50 ステンレス製計測室
51 中性粒子ビーム
52 計測機器
53 排気ガス
61 処理ガス
62 プラズマ生成・基板処理室
63 誘導結合プラズマ生成用アンテナ
64 パルス変調可能なプラズマ生成用高周波電源
65 プラズマ
68 カーボン製イオン加速用電極
69 電圧印加用電源
70 基板保持台
71 基板
72 排気ガス

Claims (14)

  1. フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、
    高周波電界の印加と印加の停止とを交互に繰り返すことによりプラズマを生成し、
    該プラズマを基板に照射して基板処理を行うことを特徴とするプラズマ処理方法。
  2. フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、
    高周波電界の印加と印加の停止とを交互に繰り返すことによりプラズマを生成し、
    該プラズマから負イオンまたは正イオンを、個別にあるいは交互に引き出して中性化することにより中性粒子ビームを生成し、
    該中性粒子ビームを基板に照射して基板処理を行うことを特徴とするプラズマ処理方法。
  3. フッ素ガス(F2)を含む処理ガスをプラズマ生成室に供給し、
    高周波電界の印加と印加の停止とを交互に繰り返すことによりプラズマを生成し、
    該プラズマから負イオンのみを選択的に引き出して中性化することにより中性粒子ビームを生成し、
    該中性粒子ビームを基板に照射して基板処理を行うことを特徴とするプラズマ処理方法。
  4. 前記処理ガスが100容量%のフッ素ガス(F2)であることを特徴とする請求項1〜
    3のいずれかに記載のプラズマ処理方法。
  5. 前記処理ガスがフッ素ガス(F2)と塩素ガス(Cl2)との混合ガスであることを特徴とする請求項1〜3のいずれかに記載のプラズマ処理方法。
  6. 前記フッ素ガス(F2)が、固体状の金属フッ化物を加熱分解することにより生じるフ
    ッ素ガス(F2)であることを特徴とする請求項1〜5のいずれかに記載のプラズマ処理
    方法。
  7. 前記プラズマを生成する際のプラズマ生成室のガス圧力が、0.1〜100Paであることを特徴とする請求項1〜6のいずれかに記載のプラズマ処理方法。
  8. 前記プラズマを生成する際の高周波電界の印加の停止時間が、20〜100μ秒であることを特徴とする請求項1〜7のいずれかに記載のプラズマ処理方法。
  9. 請求項1〜8のいずれかに記載のプラズマ処理方法を利用することを特徴とする基板のフッ素化処理方法。
  10. 請求項1〜8のいずれかに記載のプラズマ処理方法を利用することを特徴とする基板のプラズマエッチング方法。
  11. 請求項10に記載のプラズマエッチング方法を利用することを特徴とするシリコンまたはシリコン化合物のプラズマエッチング方法。
  12. 前記シリコン化合物が、酸化珪素、窒化珪素または珪酸塩であることを特徴とする請求項11に記載のプラズマエッチング方法。
  13. 請求項1〜12のいずれかに記載の方法により製作した半導体デバイス。
  14. 請求項1〜12のいずれかに記載の方法により製作したマイクロマシン(MEMS:Micro Electric Mechanical System)デバイス。
JP2005091867A 2004-07-07 2005-03-28 プラズマ処理方法およびプラズマエッチング方法 Pending JP2006049817A (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2005091867A JP2006049817A (ja) 2004-07-07 2005-03-28 プラズマ処理方法およびプラズマエッチング方法
TW094122896A TWI392014B (zh) 2004-07-07 2005-07-06 Plasma processing method and plasma etching method
KR1020077002884A KR100896549B1 (ko) 2004-07-07 2005-07-06 플라즈마 처리방법 및 플라즈마 식각방법
US11/631,597 US20080085604A1 (en) 2004-07-07 2005-07-06 Plasma Treatment Method and Plasma Etching Method
CNB2005800230341A CN100573828C (zh) 2004-07-07 2005-07-06 等离子体处理方法和等离子体蚀刻方法
PCT/JP2005/012878 WO2006004224A1 (en) 2004-07-07 2005-07-06 Plasma treatment method and plasma etching method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004200100 2004-07-07
JP2005091867A JP2006049817A (ja) 2004-07-07 2005-03-28 プラズマ処理方法およびプラズマエッチング方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011101150A Division JP2011199297A (ja) 2004-07-07 2011-04-28 プラズマ処理方法およびプラズマエッチング方法

Publications (1)

Publication Number Publication Date
JP2006049817A true JP2006049817A (ja) 2006-02-16

Family

ID=36027972

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005091867A Pending JP2006049817A (ja) 2004-07-07 2005-03-28 プラズマ処理方法およびプラズマエッチング方法

Country Status (6)

Country Link
US (1) US20080085604A1 (ja)
JP (1) JP2006049817A (ja)
KR (1) KR100896549B1 (ja)
CN (1) CN100573828C (ja)
TW (1) TWI392014B (ja)
WO (1) WO2006004224A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007250985A (ja) * 2006-03-17 2007-09-27 Showa Denko Kk プラズマエッチング方法
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
KR100898589B1 (ko) * 2007-08-13 2009-05-20 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP2010177708A (ja) * 2010-05-07 2010-08-12 Casio Computer Co Ltd 窒化シリコン膜のドライエッチング方法および薄膜トランジスタの製造方法
JP2011510501A (ja) * 2008-01-23 2011-03-31 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング 太陽電池の製造方法
US8089042B2 (en) 2007-11-30 2012-01-03 Samsung Electronics Co., Ltd. Apparatus and method for processing substrate using neutralized beams including applying a voltage to a substrate support
JP2012529777A (ja) * 2009-12-15 2012-11-22 ユニバーシティ オブ ヒューストン システム パルスプラズマを用いた原子層エッチング
WO2012173162A1 (ja) * 2011-06-13 2012-12-20 国立大学法人東北大学 量子ナノドット、二次元量子ナノドットアレイ及びこれを用いた半導体装置並びに製造方法
JP2013539185A (ja) * 2010-09-30 2013-10-17 アストリアム エスアーエス プラズマビームを形成するための方法及び装置

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7799661B2 (en) * 2006-01-03 2010-09-21 Freescale Semiconductor, Inc. Electrical sensor for real-time feedback control of plasma nitridation
KR101295565B1 (ko) * 2006-10-10 2013-08-09 엘지전자 주식회사 조리기기 및 그 제작방법
JP4450245B2 (ja) * 2007-06-07 2010-04-14 株式会社デンソー 半導体装置の製造方法
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
WO2011038307A1 (en) * 2009-09-25 2011-03-31 Conyers Technology Group, Llc Electrochemical processing of fluids
CN102054745B (zh) * 2009-10-30 2013-01-30 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101578178B1 (ko) 2011-10-31 2015-12-16 캐논 아네르바 가부시키가이샤 자성막의 이온 빔 에칭 방법 및 이온 빔 에칭 장치
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5510437B2 (ja) * 2011-12-07 2014-06-04 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
CN104183451A (zh) * 2013-05-22 2014-12-03 中微半导体设备(上海)有限公司 实现快速散热的法拉第屏蔽装置及等离子体处理装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601319B1 (en) * 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US11154903B2 (en) * 2016-05-13 2021-10-26 Jiangsu Favored Nanotechnology Co., Ltd. Apparatus and method for surface coating by means of grid control and plasma-initiated gas-phase polymerization
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9960049B2 (en) 2016-05-23 2018-05-01 Applied Materials, Inc. Two-step fluorine radical etch of hafnium oxide
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN106756888B (zh) * 2016-11-30 2018-07-13 江苏菲沃泰纳米科技有限公司 一种纳米镀膜设备旋转货架装置
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11043375B2 (en) * 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
WO2019199681A1 (en) 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN114008761A (zh) 2019-07-01 2022-02-01 应用材料公司 通过优化等离子体耦合材料来调节膜特性
CN110779637B (zh) * 2019-10-29 2021-06-22 广东电网有限责任公司广州供电局 基于金属薄膜热效应的等离子体辐射能量测量薄膜量热计
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
CN112326772A (zh) * 2020-11-09 2021-02-05 上海裕达实业有限公司 用于固体材料直接测试的等离子质谱仪系统及测试方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002500574A (ja) * 1998-03-20 2002-01-08 サーフィス テクノロジー システムズ ピーエルシー ミクロメカニカルデバイスを製造する方法と装置
JP2002289584A (ja) * 2001-03-26 2002-10-04 Ebara Corp 表面処理方法
JP2002538620A (ja) * 1999-03-04 2002-11-12 サーフィス テクノロジー システムズ ピーエルシー ガス配送システム
JP2002538068A (ja) * 1999-03-04 2002-11-12 サーフィス テクノロジー システムズ ピーエルシー 三フッ化塩素ガス生成装置
JP2003109942A (ja) * 2001-09-28 2003-04-11 Ebara Corp エッチング方法及びエッチング装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2082366A5 (ja) * 1970-03-12 1971-12-10 Pierrelatte Usines Chimi
US5198390A (en) * 1992-01-16 1993-03-30 Cornell Research Foundation, Inc. RIE process for fabricating submicron, silicon electromechanical structures
US5431774A (en) * 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
US5883005A (en) * 1994-03-25 1999-03-16 California Institute Of Technology Semiconductor etching by hyperthermal neutral beams
TW487983B (en) * 1996-04-26 2002-05-21 Hitachi Ltd Manufacturing method for semiconductor device
US6391788B1 (en) * 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
JP3912993B2 (ja) * 2001-03-26 2007-05-09 株式会社荏原製作所 中性粒子ビーム処理装置
GB0122725D0 (en) * 2001-09-21 2001-11-14 Glaxo Group Ltd Drug dispensing components
JP2005508078A (ja) * 2001-10-31 2005-03-24 東京エレクトロン株式会社 高アスペクト比形態のエッチング方法
JP4073204B2 (ja) * 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
RU2221739C1 (ru) * 2002-12-20 2004-01-20 Закрытое акционерное общество "АСТОР ЭЛЕКТРОНИКС" Способ получения фтора

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002500574A (ja) * 1998-03-20 2002-01-08 サーフィス テクノロジー システムズ ピーエルシー ミクロメカニカルデバイスを製造する方法と装置
JP2002538620A (ja) * 1999-03-04 2002-11-12 サーフィス テクノロジー システムズ ピーエルシー ガス配送システム
JP2002538068A (ja) * 1999-03-04 2002-11-12 サーフィス テクノロジー システムズ ピーエルシー 三フッ化塩素ガス生成装置
JP2002289584A (ja) * 2001-03-26 2002-10-04 Ebara Corp 表面処理方法
JP2003109942A (ja) * 2001-09-28 2003-04-11 Ebara Corp エッチング方法及びエッチング装置

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007250985A (ja) * 2006-03-17 2007-09-27 Showa Denko Kk プラズマエッチング方法
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
KR100898589B1 (ko) * 2007-08-13 2009-05-20 주식회사 하이닉스반도체 반도체 소자 제조 방법
US8089042B2 (en) 2007-11-30 2012-01-03 Samsung Electronics Co., Ltd. Apparatus and method for processing substrate using neutralized beams including applying a voltage to a substrate support
US8450680B2 (en) 2007-11-30 2013-05-28 Samsung Electronics Co., Ltd. Apparatus and method for processing substrate using neutralized beams including applying a voltage to a substrate support
JP2011510501A (ja) * 2008-01-23 2011-03-31 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング 太陽電池の製造方法
JP2012529777A (ja) * 2009-12-15 2012-11-22 ユニバーシティ オブ ヒューストン システム パルスプラズマを用いた原子層エッチング
KR101392838B1 (ko) * 2009-12-15 2014-05-15 유니버시티 오브 휴스턴 시스템 펄스형 플라즈마를 사용한 원자층 에칭
JP2010177708A (ja) * 2010-05-07 2010-08-12 Casio Computer Co Ltd 窒化シリコン膜のドライエッチング方法および薄膜トランジスタの製造方法
JP2013539185A (ja) * 2010-09-30 2013-10-17 アストリアム エスアーエス プラズマビームを形成するための方法及び装置
WO2012173162A1 (ja) * 2011-06-13 2012-12-20 国立大学法人東北大学 量子ナノドット、二次元量子ナノドットアレイ及びこれを用いた半導体装置並びに製造方法

Also Published As

Publication number Publication date
TWI392014B (zh) 2013-04-01
KR20070033017A (ko) 2007-03-23
CN100573828C (zh) 2009-12-23
TW200608489A (en) 2006-03-01
CN1981367A (zh) 2007-06-13
WO2006004224A1 (en) 2006-01-12
US20080085604A1 (en) 2008-04-10
KR100896549B1 (ko) 2009-05-07
WO2006004224A9 (en) 2006-04-13

Similar Documents

Publication Publication Date Title
JP2006049817A (ja) プラズマ処理方法およびプラズマエッチング方法
US20180286707A1 (en) Gas additives for sidewall passivation during high aspect ratio cryogenic etch
JP6485972B2 (ja) Tsv/mems/パワーデバイスエッチング用の化学物質
JP4073204B2 (ja) エッチング方法
JP3623256B2 (ja) 表面処理方法および表面処理装置
JP5925491B2 (ja) 電子ビーム誘起エッチング方法
WO2008020267A2 (en) Etch method in the manufacture of an integrated circuit
JP2010283362A (ja) 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程
JP3336975B2 (ja) 基板処理方法
JPWO2006003962A1 (ja) エッチング方法及び装置
Iwase et al. Progress and perspectives in dry processes for nanoscale feature fabrication: fine pattern transfer and high-aspect-ratio feature formation
CN109922898B (zh) 用于基于碳的膜的自限制循环蚀刻方法
CN105914144A (zh) 蚀刻方法
JP2010098040A (ja) Siエッチング方法
CN105702569A (zh) 刻蚀方法
JP2011199297A (ja) プラズマ処理方法およびプラズマエッチング方法
Ono et al. RF-plasma-assisted fast atom beam etching
Gillis et al. Precision, damage-free etching by electron-enhanced reactions: results and simulations
KR20220126045A (ko) 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
JP2005259873A (ja) エッチング方法
JPH0458176B2 (ja)
JPH04137532A (ja) 表面処理方法及びその装置
JP2007250985A (ja) プラズマエッチング方法
JP3038984B2 (ja) ドライエッチング方法
岩瀬拓 et al. Plasma etching mechanisms in the fabrication of high-aspect-ratio microstructures in stacked layers of different materials

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101026

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101222

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110201

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110428

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110517

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110622

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20110812