KR101392838B1 - 펄스형 플라즈마를 사용한 원자층 에칭 - Google Patents

펄스형 플라즈마를 사용한 원자층 에칭 Download PDF

Info

Publication number
KR101392838B1
KR101392838B1 KR1020117024320A KR20117024320A KR101392838B1 KR 101392838 B1 KR101392838 B1 KR 101392838B1 KR 1020117024320 A KR1020117024320 A KR 1020117024320A KR 20117024320 A KR20117024320 A KR 20117024320A KR 101392838 B1 KR101392838 B1 KR 101392838B1
Authority
KR
South Korea
Prior art keywords
plasma
substrate
delete delete
gas
bias
Prior art date
Application number
KR1020117024320A
Other languages
English (en)
Other versions
KR20120024544A (ko
Inventor
빈센트 엠. 도넬리
드미트리 제이. 이코노무
Original Assignee
유니버시티 오브 휴스턴 시스템
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 유니버시티 오브 휴스턴 시스템 filed Critical 유니버시티 오브 휴스턴 시스템
Publication of KR20120024544A publication Critical patent/KR20120024544A/ko
Application granted granted Critical
Publication of KR101392838B1 publication Critical patent/KR101392838B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32036AC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32045Circuits specially adapted for controlling the glow discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

신속한 원자층 에칭(atomic layer etching, ALET)을 위한 시스템 및 방법은, 나선형 코일 전극, 냉각되는 패러데이 차폐물, 튜브의 상부에 배치된 상대 전극, 및 가스 유입구를 구비한 펄스형 플라즈마 소스; 그리고 기판 지지체 및 경계 전극을 포함하는 반응 챔버를 포함한다. 상기 방법은 에칭 가능한 기판을 플라즈마 에칭 챔버 내에 배치하는 단계; 상기 기판의 표면 상에 생성물층을 형성하는 단계; 플라즈마 소스를 펄싱함으로써 상기 생성물층의 일부를 제거하는 단계, 그리고 상기 생성물층을 형성하는 단계 및 상기 생성물층의 일부를 제거하는 단계를 반복하여 에칭된 기판을 형성하는 단계를 포함한다.

Description

펄스형 플라즈마를 사용한 원자층 에칭 {ATOMIC LAYER ETCHING WITH PULSED PLASMAS}
관련 출원의 상호 참조
적용되지 않음
연방 정부의 지원받은 연구 또는 개발에 관한 성명
미국 정부는 본 발명에 대해 지불완료의 라이센스(paid-up license) 및 미국 에너지국(U.S. Department of Energy)에 의해 수여받은 승인 번호 DE-PS02-09ER09-01 및 국립과학재단(National Science Foundation)에 의해 수여받은 CBET-0903426의 조항에 의해 정해진 바에 따라, 제한된 상황에서는 특허권자에게 타당한 조건으로 다른 사람에게 라이센스를 줄 것을 요구할 권리를 가진다.
본 발명은 나노 제조 프로세스(nanofabrication process)에 관한 것이다. 더욱 구체적으로, 본 발명은 원자층 정밀도(atomic layer precision)를 가지는 고체 표면을 에칭하기 위한 새로운 순환 프로세스(cyclic process)에 관한 것이다.
ALD(Atomic layer deposition, 원자층 증착)은 나노제조 프로세스로, MOSFET(metal-oxide-semiconductor field-effect-transistor, 금속 산화물 반도체 전계 효과 트랜지스터)에 게이트 유전체로서 실리콘 산화물(SiO2)을 대체하는, "high-k 물질"이라고도 알려진, 고 유전상수 물질(high dielectric constant material)을 성장시키는 중요한 방법이 되었다.
ALD에 대한 대안 프로세스로서 "디지털 에칭(digital eching)"으로도 알려진, ALET(Atomic layer etching, 원자층 에칭)이 개발되었다. ALET는 염소 가스(Cl2) 흡착과 전자 빔 에칭을 교대로 사용한 갈륨아세나이드(GaAs) 에칭에 대해 처음 보고되었다. 이 기술의 개발과 더불어, 추가적인 연구에서는 실리콘의 ALET를 수행하기 위해 이온 충격(ion bombardment)의 가능성을 탐색하였지만, 에칭 사이클 각각에 대해 필요한 주기가 실험실 규모에서도 수용 한계(acceptable limit)를 초과한다.
원자층 에칭(ALET)에 대한 종래의 방법의 완전한 사이클은 4 단계으로 이루어진다. 첫 번째, 세정 기판(clean substrate)을 반응 가스(reactant gas)에 노출시켜 표면에 대한 가스의 흡착(adsorption)을 촉진시키는 것을 포함하는, 화학 흡착(chemisorption) 단계이다. 두 번째, 다음 단계에서 가스상 반응물(gas-phase reactant)에 의한 에칭을 회피하기 위해 비활성 가스 흐름(inert gas flow)과 함께 과잉 Cl2 가스가 제거된다. 세 번째, 화학적 스퍼터링(chemical sputtering)과 같은, 반응 단계가 보통 비활성 가스 플라즈마를 통해, 흡착된 가스와 밑에 있는 기저 고체의 반응 (underlying solid reaction) 사이에서 영향을 받는다. 이상적으로는, 이 프로세스는 또한 자체 한정적인 것(self-limiting)이고; 이온은 오직 화학 흡착된 가스에 구속된(bonded) 기판 원자와 반응한다. 일단 염소처리된(chlorinated) 층이 제거되면, 기판의 물리 스퍼티링에 의한 추가적인 에칭은 일어나지 않거나 충분히 제한되어야 한다. 끝으로, 반응 챔버의 진공 배기(evacuation)는 에칭 생성물(etching product)을 배출(exhaust)한다. 첫 번째 단계의 화학 흡착 및 세 번째 단계의 에칭의 기간이 충분이 연장된 지속기간인 경우, 에칭 레이트는 사이클당 하나의 원자층에 근접하고, 여기서 원자층 두께는 염소처리된 층의 두께이지만, 반드시 기판의 하나의 단층(one monolayer)인 것은 아니다. 또, 반복되는 ALET 사이클 동안에 기판 표면이 거의 원자적으로(nearly-atomically) 평탄함을 유지한다면, 실질적으로 사이클당 기판의 하나의 단층을 제거하는 최적의 조건을 달성할 수 있다.
그러나, 거의 원자 단층을 달성하기 위해서는, 종래의 ALET 프로세스를 사용한 기판의 제거는 사이클당 150초에 근접하거나 초과하는 매우 긴 에칭 사이클을 필요로 한다. 또, 종래의 ALET 프로세스는 추가적인 제한사항을 포함한다. 첫째, 가스 펄싱이, Cl2와 같은 흡착 가스는 챔버 벽에 체류하는 시간이 길고 비활성 가스 플라즈마는 점화되기 전에 긴 펌핑 기간을 필요로 한다는 사실로 인하여 악화되어, 불리하다. 이 때문에 매우 얇은 막의 에칭에 필요한 시간도, 에칭 레이트가 매우 느리다. 둘째, 사이클당 에칭 레이트는 반드시 일정하거나 제어 가능한 것은 아닐 수 있다. 구체적으로, 이온 충격으로 유도된 거칠어짐(ion bombardment induced roughening)이 사이클의 수와 더불어 포화된 층 두께를 증가시킬 수 있고, 각 사이클 수와 더불어 에칭 레이트를 가속시킬 수 있다.
무어의 법칙(Moore's law)과 계속되는 반도체의 개발은 미래 집적회로 내의 소자는 한 개 원자층 두께 및 수개 원자층 폭 미만 정도의 크기가 될 것이라고 예측한다. 현재의 플라즈마 에칭 프로세스는 그러한 정밀한 패턴 전사를 달성하기에 너무 거칠고 기판의 기저층(underlying layer)을 손상시킬 수 있다. 특히, 종래의 플라즈마 에칭 기술은 20nm 이하 구조체의 정밀한 패터닝에 요구되는 제어 수준을 가지지 않으며 펄스형 가스를 사용한 현재의 원자층 에칭은 너무 느려서 미래 집적회로의 대량 제조에 실용적이지 못하다. 또, 현재의 기술은, 염소 가스와 같은, 과도한 전구체 원료(precursor raw material)를 필요로 하는데, 이것은 비용 절감을 위해 효율적인 프로세스를 찾을 가능성이 있다는 것을 의미한다.
그러므로, 원자층 에칭이 느린 에칭 사이클 시간, 기판 손상, 낮은 분해능(poor resolution), 및 비효율적인 작업(inefficient operation)의 문제를 극복할 수 있고, 따라서 플라즈마 에칭을 양자점(quantum dot) 및/또는 배선(wire), 자기 조립막(self-assembled film), 및 원자층 분해능을 가지는 다른 민감한 구성요소를 포함하는 미래 나노소자(nanodevice)의 제조에 향상된 비용 효율성(cost efficiency)으로 사용할 수 있게 하려면, 새로운 방법이 필요하다.
본 발명의 일 실시예에 따른 시스템은, 튜브 주위에 배치된 나선형 코일 전극; 상기 튜브와 상기 나선형 코일 전극 사이에 배치되고 유체 흐름(fluid flow)에 의해 냉각되는 패러데이 차폐물(Faraday shield); 상기 튜브의 상부에 배치되고 적어도 부분적으로 상기 튜브 내로 연장되는 상대 전극(counter electrode); 및 상기 튜브에 배치되고 프로세스 가스공급장치(process gas supply)와 유체가 소통하도록 연결되는(fluid communication) 가스 유입구(gas inlet)를 포함하는 펄스형 플라즈마 소스(pulsed plasma source); 및 상기 펄스형 플라즈마 소스와는 유체가 소통하도록 연결되고, 기판 지지체(substrate suppor); 및 경계 전극(boundary electrode)을 포함하는 반응 챔버(reaction chambe)를 포함한다.
본 발명의 일 실시예에 따른 기판을 에칭하는 방법은, 비활성 가스와 반응 가스의 혼합물을 포함하는 공급 가스(feed gas)를 플라즈마 챔버 내로 도입하는 단계; 상기 기판을 상기 플라즈마 챔버 내에 배치하는 단계; 상기 공급 가스로부터 반응물(reactant)과 이온을 함유하는 플라즈마를 발생시키는 단계; 상기 반응물로 기판 표면을 포화시켜 반응종(reactant species)의 단층 및 상기 기판의 제1 단층 원자를 포함하는 생성물층(product layer)을 형성하는 단계; 및 상기 생성물층을 상기 이온에 노출시킴으로써 상기 생성물층을 제거하는 단계를 포함한다.
본 발명의 일 실시예에 따른 기판을 처리하는 방법은, 플라즈마 애프터글로(plasma afterglow)로부터의 이온을 제1 물질로 포화된 기판 표면으로 향하게 하는 단계를 포함한다. 어떤 특정한 실시예에서는, 상기 제1 물질 및 기판 원자의 하나의 단층을 상기 이온으로 제거하는 단계를 포함한다.
이상에서는 이하의 본 발명의 상세한 설명을 더욱 잘 이해할 수 있도록 본 발명의 특징 및 기술적 이점을 상당히 넓게 그 개요를 설명하였다.
본 발명의 바람직한 실시예의 상세한 설명을 위해, 첨부도면을 참조한다.
도 1은 종래의 원자층 에칭(Atomic Layer Etching, ALET) 프로세스를 나타낸다.
도 2는 본 발명의 일 실시예에 따른 예시적인 ALET 프로세스를 나타낸다.
도 3은 본 발명의 일 실시예에 따른 예시적인 ALET 시스템을 나타낸다.
도 4는 본 발명의 다른 실시예에 따른 다른 예시적인 ALET 프로세스를 나타낸다.
도 5는 본 발명의 다른 실시예에 따른 다른 예시적인 ALET 프로세스를 나타낸다.
도 6은 본 발명의 다른 실시예에 따른 다른 예시적인 ALET 시스템을 나타낸다.
도 7은 펄스형 플라즈마의 애프터글로 내에서 경계 전극에 30, 50, 70 및 100 V의 DC 전압을 인가하여 얻은 측정된 이온 에너지 분포(ion energy distributions, IED)를 나타낸다.
도 8은 펄스형 플라즈마의 애프터글로 기간 내에 경계 전극에 30, 50, 70 및 100 V의 DC 전압을 인가하여 얻은 시뮬레이션된 이온 에너지 분포(IED)를 나타낸다.
도 9는 방전관 축을 따라 수직 위치의 함수인 이온 및 전자 밀도를 나타낸다.
도 10은 레이저 유도 열 탈착(laser-induced thermal desorption) 후 Si 기판 위에서 시뮬레이션된 SiCl 및 SiBr 레이저 유도 형광(laser-induced fluorescence)을 나타낸다.
도 11은 경계 전극에 계속하여 인가된 상이한 DC 바이어스에 대한 고정 압력에서의 IED를 나타낸다.
도 12는 상이한 압력들에 대해 분석된 랭뮤어 프로브 측정(resolved Langmuir probe measurement)을 나타낸다.
도 13은 경계 전극에 연속하여 인가된 DC 바이어스로 정규화된 IED를 나타낸다.
도 14는 펄스형 플라즈마 상태하의 상이한 압력들에서의 IED를 나타낸다.
도 15는 펄스형 플라즈마의 애프터글로 동안에, 상이한 시간에서의 동기(synchronous) DC 바이어스 경계 전극 펄스들과 함께 IED를 나타내며, (a)는 이른 애프터글로 시에 시작하는 바이어스에 대한 그래프이고 (b)는 늦은 애프터글로 시에 시작하는 바이어스에 대한 그래프이다.
도 16은 펄스형 플라즈마의 애프터글로 동안에, 동일한 시각에서의 동기의 DC 바이어스 경계 전극 펄스들과 함께 IED를 나타내며, (a)는 바이어스 지속기간 Δtb = 50 마이크로초에 대한 그래프이고 (b) 바이어스 지속기간 Δtb = 15 마이크로초에 대한 그래프이다.
도 17은 상이한 플라즈마 변조 주파수에 대해 펄스형 플라즈마의 애프터글로 동안의 동기의 DC 바이어스와 함께 IED를 나타내며, (a)는 바이어스 지속기간 Δtb = 50 ㎲에 대한 그래프이고 (b) FWHM으로 정규화된 IED의 그래프이다.
도 18은 상이한 듀티 사이클에 대해 펄스형 플라즈마의 애프터글로 동안의 동기 DC 바이어스 경계 전극 펄스와 IED를 나타낸다.
종래의 원자층 에칭: 도 1에 나타낸 바와 같이, 종래의 원자층 에칭((ALET) 프로세스는 네 개의 스테이지: 실리콘(Si)과 같은 기판을 염소(Cl)와 같은 반응 가스에 노출시키는 단계; 과잉 반응 가스를 챔버로부터 제거하는 단계; 흡착된 반응 가스를 플라즈마와 같은 고에너지 선속(energetic flux)에 노출시키는 단계; 실리콘 염화물 라디칼(silicon chloride radical)(SiClx: x는 대략 0과 대략 4 사이임)과 같은 에칭 생성물의 챔버를 배기(exhaust)시키는 단계를 포함할 수 있다.
제1 단계는 화학 흡착 스테이지(1)를 포함한다. 보통 실리콘을 포함하는 세정 기판(clean substrate)을 염소(Cl2)와 같은 반응 가스에 노출시킨다. 모든 이용 가능한 표면 측이 사용된 때 반응 가스 흡착은 화학 흡착의 중지로 인해 자체적으로 한정된다. 반응 가스 흐름은 이 화학 흡착 단계 동안에만 활성화된다. 제2 단계 (2)는 기판에 근접하여 또는 기판 표면에 있을 수 있는 과잉 반응 가스를 제거하여 챔버 벽 상의 일시적인 증착을 방지하기 위해 필요하다. 더욱 구체적으로는, 과잉 반응 가스(Cl2)의 제거는 그 다음의 에칭 단계 (3)에서 벽으로부터 방출된(released)된 가스상 반응물에 의한 자발적인 에칭(spontaneous etching)을 회피할 수 있다. 과잉 또는 오래 머무는(lingering) 반응 가스에 의해 유발되는 자발적인 에칭은 단층 정밀도의 가능성을 없앤다. 제3 단계 (3)에서, 기판의 표면을 흔히 유도 결합 플라즈마(inductively coupled plasma, ICP)와 같은, 비활성 가스 플라즈마를 통해 이온, 전자, 또는 고속의 중성자(neutrals)와 같은 고 에너지 선속에 노출시켜 흡착된 가스와 기저 고체(underlying solid) 사이의 반응을 가져온다. 이온은 화학 흡착된 가스에 구속된 기판 원자와만 반응하기 때문에, 이 반응 또는 화학적 스퍼터링도 자체 한정적인 것이다. 일단 화학 흡착된 층이 제거되면, 대략 단일 원자층 에칭 분해능을 유지하기 위해 기판의 추가적인 에칭은 바람직하지 못하다. 끝으로, 챔버를 진공 배기시켜 에칭 생성물 및 존재할 수 있는 모는 기판 반응 가스 라디칼을 제거한다.
이 종래의 ALET 프로세스는, 예를 들면, 사이클당 약 150 초(s)라는 매우 긴 애칭 사이클을 필요로 한다는 것에 유의하기 바란다. 또, 화학 흡착 (1) 및 에칭 (3)의 기간을 연장함에 따라, 에칭 레이트는 사이클당 하나의 원자층에 근접하지만, 사이클 시간의 비용 증가로 처리 효율을 감소된다. 반복되는 ALET 사이클 동안에 기판을 원자적으로 평탄 또는 거의 평탄하게 유지하면, 사이클당 실질적으로 기판의 하나의 단층을 제거하는 최적의 조건을 달성할 수 있다. 그러나, 프로세스가 지나치게 연장되면, 원자층 두께가 염소처리된 두께이고, 반드시 기판의 하나의 단층이 아니어서, 적어도 부분적으로 ALET의 목적을 달성하지 못한다.
새로운 ALET 개요: 본 발명에서는, ALET 프로세스를 위한 기술 및 시스템의 몇몇 예시적인 실시예를 개시한다. 분명하고 간결하도록, 본 발명은 하나 이상의 구체적인 시스템 및 하나 이상의 구체적이고 특정한 기술에 초점을 둔다. 해당 기술분야의 당업자는 실시예들이 예시적일 뿐임을 알 것이다. 본 발명은 여기에 기술되는 특정 실시예에 의해 범위가 한정되는 것은 아니다. 실제로, 여기에 기재된 것 외에, 본 발명의 여러 다른 실시예 및 수정예는 해당 기술분야의 당업자에게 명백할 것이다.
새로운 ALET 프로세스를 위한 시스템 및 방법은 펄스형 플라즈마 및 펄스형 전극(pulsed electrode) 바이어스 전압 기반 프로세스일 수 있다. 실시예에서, 시스템은 ICP, 소스, 용량 결합 플라즈마(capacitively coupled plasma, CCP) 소스 또는 헬리콘(helicon) 소스와 같은 플라즈마 소스를 포함할 수 있다. 특정 실시예에서, 플라즈마 소스는 ICP 소스이다. 플라즈마 소스는 연속 또는 펄스형 전류 형태의 DC 또는 무선 주파수(radio-frequency, RF) 전력을 가질 수 있다. 몇몇 실시예에서, 하나 이상의 전극이 기판 근처에 배치되거나 플라즈마 내에 담긴다. 몇몇 예에서, ICP 펄싱 시스템은 빠른 RF 플라즈마 펄스를 발생시키기 위해 하나 이상의 무선 주파수(RF) 전력 발생기를 가진다. 다른 경우에, 빠른 ALET 시스템은 챔버의 바이어싱, 챔버 벽의 바이어싱, 및 플라즈마의 바이어싱을 위해 반응 챔버 내에 배치된 전극을 포함한다. 다른 구성에서는, 플라즈마 펄싱 시스템은 이차 또는 보조 플라즈마 소스를 포함하여 펄싱 동안에 ICP의 안정화를 돕는다.
또, 새로운 ALET 프로세스는 종래의 ALET 레이트 한정 단계, 비한정적인 예로, 가스 펄싱의 필요성을 없애는 가능한 수단(potential means)을 제공한다. 몇몇 실시예에서, 새로운 ALET 프로세스 방법은 두 개의 단계: 흡착 단계 및 에칭 스테이지를 포함한다. 몇몇 예에서, 이 프로세스는 ICP 소스 및 화학 흡착 및 에칭을 제어하기 위해 반응 챔버 내에 배치된 전극에 대해 스위칭 가능한 전기 펄스를 이용할 수 있다. 예를 들면, 전극은 플라즈마 펄스를 사용하여 대략 동기의 플라즈마에 바이어스 전압을 인가할 수 있다. 플라즈마 펄스 및 전극 바이어스 전압의 미분 제어(differential control)는 기판에 충돌하는 이온 에너지 분포의 미세 조정을 가능하게 할 수 있다. 다른 예로, 새로운 ALET 프로세스는 유독성 및 부식성일 것으로 이해될 수 있는, 프로세스 및 반응 가스의 사용량을 줄일 수 있다. 종래의 에칭 방법에 비교하면, 이 방법은 가스 비용의 절감, 안정성의 향상, 및 프로세스가 환경에 미치는 영향(environmental implication)의 개선을 제공한다.
새로운 ALET 프로세스: 도 2를 참조하면, 도 2에는 본 발명의 일 실시예에 따른 ALET 프로세스(200)가 도시되어 있다. 도 2의 윗부분은 ALET 프로세스를 도시하고, 도 2의 아랫부분은 시간에 따라 변화하는 두 개의 프로세서 파라미터(플라즈마 소스 전력 및 경계 전압)를 도시한다. 도 2에 나타낸 바와 같이, ALET 프로세스는 두 개의 단계: 흡착 스테이지(202)와 에칭 스테이지(252)를 포함한다. 흡착 스테이지(202) 동안에, 흡착물(adsorbate)이 기판의 표면에 흡착될 수 있도록 기판을 흡착물에 대해 노출시킨다. 몇몇 실시예에서, 흡착물은 반응물일 수 있다. 예로, 흡착물은 해리된 반응물 원자(dissociated reactant atom) 또는 홀전자(unpaired electron) 또는 불포화 결합(dangling bond)을 가지는 해리된 반응물 분자를 포함할 수 있다. 반응물은 할로겐, 불소(F), 염소(Cl), 브롬(Br) 또는 요오드(I)를 포함할 수 있지만, 이에 한정되는 것은 아니다. 특정 실시예에서, 반응물은 염소 반응 가스(Cl2)로부터 유래된 해리된 염소(Cl) 원자일 수 있다. 이론에 의한 제한 없이, 해당 기술분야의 당업자는, 다른 할로겐, 할로겐화 종(halogenated species), 또는 기타 반응물도 흡착물로 사용될 수 있음을 알 것이다. 다른 실시예에서는, 온전한(intact) 또는 해리되지 않은(un-dissociated) 반응물도 기판 상의 흡착물로서 사용될 수 있다. 또, 해당 기술분야의 당업자는 "가스"라는 용어가 실온에서 또는 제한 없이, 표준 온도 및 압력에서 고체 또는 액체 상태의 물질로부터 생성된 증기를 포함한다는 것을 알 수 있다.
흡착물은 반응물을 함유하는 플라즈마를 발생시킴으로써 얻을 수 있다. 특정 예에서, 비활성 가스가 반응물과 함께 이온화될 수 있다. 제한 없이, 결과 플라즈마는 반응물, 반응물 가스 이온, 및 비활성 가스 이온을 함유할 수 있다. 실시예에서, 아르곤(Ar)이 비활성 가스로서 사용된다. 또, 해당 기술분야의 당업자는 임의의 희가스종(noble gas species) 또는 비활성 가스종이 사용될 수도 있음을 알 것이다.
실시예들에서, 반응물이 비활성 가스와 함께 이온화되면, 반응 가스의 농도는 부피로 약 0.01%와 약 20% 사이일 수 있고; 다르게는, 반응 가스 농도는 약 0.01%와 약 15% 사이일 수 있으며; 특정 예에서, 반응 가스 농도는 결합된 가스의 부피로 약 0.01%와 약 10% 사이일 수 있다. 특정 실시예에서, 반응 가스는 부피로 약 1% 미만의 농도로 포함할 수 있다. 제한 없이, 생성된 플라즈마는 주로 Ar 종을 포함하고 적은 부분의 Cl 반응 가스종을 포함할 수 있다.
실시예들에서, 플라즈마 소스는 반응물을 생성하기 위해 사용된다. 비제한적 예시적인 플라즈마 소스는 유도 결합 플라즈마(ICP) 소스, 용량 결합 플라즈마 소스(CCP) 소스, 또는 헬리콘 소스를 포함할 수 있다. 특정 실시예에서, 플라즈마 소스는 ICP 소스이다. 예에서, ICP 소스는 흡착 스테이지(202) 동안에 RF 전력을 공급받을 수 있다.
실시예들에서, 플라즈마 소스는 전체 흡착 스테이지(202)를 통해 전력을 공급받지 않는다. 예로, 플라즈마 소스에 인가된 RF 전력은 흡착 스테이지(202)의 후반 부분(latter portion) 동안 낮아질 수 있다. 비제한적인 예에서, 플라즈마 소스는 도 2에 나타낸 바와 같이, 흡착 스테이지(202)의 시작 부분 동안에 RF 전력을 공급받을 수 있다. 또, 흡착 스테이지(202)의 후반 부분 동안에, 낮은 전력이 플라즈마 소스에 공급될 수 있거나, 애프터글로를 제공하기 위해 플라즈마 소스가 턴오프(turn off)될 수 있다. 다르게는, 플라즈마 소스는 전체 흡착 스테이지(202) 내내 계속하여 전력을 공급받을 수도 있다.
이론에 의한 제한 없이, 흡착 프로세스는 여기에서 설명한 바와 같이 일어날 수 있다. 패시베이팅층(passivating layer) 없이, 세정 기판을 포함하는 기판은 홀전자 또는 불포화 결합을 포함할 수 있다. 예로, 기판 표면 근처의 플라즈마로부터의 반응물은 그러면, 화학 흡착 같은 것을 통해, 표면의 불포화 결합과 쉽게 결합하여 생성물층을 형성할 수 있다. 예로, 이 생성물층은 반응물의 단층 및 연관된 기판 원자의 단층을 포함할 수 있다. 예로, Cl 반응물은 예시적인 실리콘(Si) 기판의 표면에 흡착되어 SiClx를 포함하는 생성물층을 형성한다. 또, 특정 예에서, 생성물층은 반응종 Cl 원자의 단층 및 Si 원자의 단층을 포함할 수 있다. 흡착은, 기판 표면이 흡착물로 포화될 때까지 계속될 수 있다. 제한 없이, 포화는, 홀전자 또는 불포화 결합과 같은, 실질적으로 모든 이용 가능한 기판 표면의 사이트가, 반응물에 의해 사용되거나 반응물과 연관될 때, 달성된다. 해당 기술분야의 당업자가 알 수 있듯이, 특정 예에서, 기판 표면의 일부는 반응물로 덮이지 않는다. 예를 들면, 기판 표면의 일부는, 산화물층과 같은 패시베이팅층을 포함할 수 있지만, 이에 한정되는 것은 아니다. 비제한적인 예로, 패시베이팅층은 이용 가능한 사이트, 이용 가능한 홀전자 또는 불포화 전압을 포함하지 않을 수 있고, 그 때문에 반응물로 덮이지 않는다. 특정 예에서, 기판 표면은 적어도 부분적으로 생성물층 내의 화학 흡착된 반응물로 덮이고, 적어도 부분적으로 패시베이팅층으로 덮인다.
실시예에서, 흡착 스테이지(202)에, 반응 가스 이온 및/또는 비활성 가스 이온이 플라즈마 내에 존재할 수 있어, 생성물층을 포함하는 기판 표면이 이온에 노출된다. 예로, 기판을 충격하는 이온의 에너지는 원치않는 에칭, 물리적 및 화학적 스퍼터링을 회피 또는 최소화하도록 선택적으로 제어될 수 있다. 예를 들면, Si의 에칭을 위해 Cl 이온에 의해 요구된 에너지는 약 10-25 eV인 반면, 스퍼터링을 유발하기 위해 Ar 이온에 의해 요구된 에너지는 약 30-60 eV이다. 특정 실시예에서, 흡착 스테이지(202) 동안에 기판을 충격하는 이온의 에너지는 약 10 eV 이하로 제어될 수 있다. 이온 에너지는, 예를 들면, 원치않는 에칭, 물리적 또는 화학적 스퍼터링을 최소화하기 위해 비교적 높은 압력 하에서 프로세스를 수행함으로써 및/또는 플라즈마 소스의 전정기 차폐물(electrostatic shielding)(예컨대, 패러데이 차폐물)을 제공함으로써 제어될 수 있다. 또, Cl 반응물 원자는 실온에서 p형(p-type) 또는 적당히 도핑된 n형(moderately doped n-type) Si를 에칭하지 못하고, 프로세스의 열 제어(thermal control)를 필요로 한다.
실시예에서, 흡착 스테이지(202)를 완료한 후, 에칭 스테이지(252)를 수행한다. 이 에칭 스테이지(252) 동안에, 이온이 기판에 충격을 가하여 생성물층을 제거할 수 있다. 특정 실시예에서, 이 이온은 양으로 대전된(positively charged) 이온 또는 음으로 대전된(negatively charged) 이온을 포함한다. 예로, 양으로 대전된 이온은 생성물층의 제거에 사용된다. 해당 기술분야의 당업자라면 알고 있듯이, 에칭 스테이지(252) 동안에 기판에 충격을 가하는 이온의 에너지는 화학적으로 도움을 받는 스퍼터링(chemically-assisted sputtering)의 임계값보다 높은 것이 바람직하지만, 물리적 스퍼링의 임계값 이하일 수 있다. 선택된 에너지를 가지는 이온은 플라즈마와 기판 사이의 전위차를 제어함으로써 기판을 향하게 될 수 있다. 양이온이 기판을 향하도록 하기 위해서는, 상기한 전위차는 플라즈마 전위를 기판 전위에 비해 증가시킴으로써, 기판 전위를 플라즈마에 비해 감소시킴으로써, 또는 이 모두에 의해 증가될 수 있다. 음이온이 기판을 향하도록 하기 위해서는, 상기한 전위차를 플라즈마 전위를 기판 전위에 비해 감소시킴으로써, 기판 전위를 플라즈마 전위에 비해 증가시킴으로써, 또는 이 모두에 의해 증가될 수 있다. 에칭 스테이지(252) 동안에, 음 또는 양의, DC 또는 RF 바이어스가 플라즈마 및/또는 기판에 인가될 수 있다. 또, 도 2에 나타낸 바와 같이 플라즈마 및/또는 기판에 연속적인 바이어스가 공급될 수 있다. 다르게는, 도 4에 나타낸 바와 같이 일련의 펄스형 바이어스가 공급될 수 있다.
특정 실시예에서, 플라즈마 소스는 도 4에 나타낸 바와 같이, 에칭 스테이지(252) 동안에 RF 전력을 공급받을 수 있다. 예로, 플라즈마 소스는 펄스형 RF 전력을 구비할 수 있고, 각 RF 전력 펄스는 전술한 바이어스 펄스들 사이에 공급된다. 예를 들면, 일련의 펄스형 RF 파워는 에칭 스테이지(252) 동안에 플라즈마 소스에 인가될 수 있고, 일련의 펄스 DC 또는 RF 바이어스는 플라즈마 및 기판에 인가될 수 있다. 각 바이어스 펄스는 RF 전력 펄스들 사이에 공급될 수 있다. 다르게는, 바이어스 펄스는 약 1 ㎲와 약 20 ㎲ 사이; 다르게는 각 플라즈마 소스 펄스의 애프터글로 내로 약 10 ㎲이다.
예로, 플라즈마와 기판 사이의 전위차를 선택적으로 증가시킴으로써, 여기에서 설명한 특정 실시예의 염소처리된 생성물층을 포함하는 생성물층이 제거될 수 있다. 이 프로세스에서, 생성물과 연관된 기판 원자의 단층이 기판으로부터 동시에 제거될 수 있다. 또, 흡착 스테이지(202) 및 에칭 스테이지(252)는 한 번에 한 층씩 기판 원자의 층을 추가로 제거하기 위해 반복될 수 있다.
새로운 ALET 시스템: 이제 도 3을 참조하면, 도 3에는 본 발명의 일 실시예에 따른 예시적인 ALET 시스템(300)이 도시되어 있다. 본 발명의 일 실시예에 따른 ALET 시스템(300)은 상부 벽(top wall)(328), 하부 벽(bottom wall)(330), 및 측벽(side wall) (332)을 구비하는 플라즈마 챔버(326)를 포함할 수 있다. ALET 시스템(300)은 또한 플라즈마 소스(302), 플라즈마 챔버(326)와 플라즈마 소스(302) 사이에 개재된 차폐물(304), 기판 지지체(306), 경계 전극(308), 상대 전극(310), 및 유입구(312)를 포함할 수 있다. 플라즈마 소스(302)는 펄싱 시스템(314)에 연결될 수 있다. 한편, 기판 지지체(306)는 지지 시스템(316)에 연결될 수 있다. 이 지지 시스템(316)은 연속적인 또는 펄스형 DC 또는 RF 바이어스를 기판 지지체(306)에 공급할 수 있는 전원 공급장치일 수 있다. 다르게는, 지지 시스템(316)은 단순히 접지 또는 접지에 연결된 구성요소일 수 있다. 경계 전극(308)은 제1 전압 시스템(318)에 연결될 수 있다. 상대 전극(310)은 제2 전압 시스템(320)에 연결될 수 있다.
실시예에서, ALET 시스템은 플라즈마 챔버(326)에 연결된 펌프(324)를 추가로 포함할 수 있다. ALET 시스템(300)의 특정 구성에서, 하나 이상의 냉각 도관(cooling conduit)(336)이 포함될 수 있다. 다른 구성에서, 기판 지지체(306)는 차동 펌핑 도관(334)를 포함할 수 있다. 다른 구성에서, 플라즈마 챔버 상부(328)는 상대 전극(310) 및 가스 유입구(312)를 포함할 수 있다. 또 다른 구성에서, ALET 시스템(300)은 플라즈마 챔버(326)에 연결된 보조 플라즈마 챔버(350)를 더 포함할 수 있다. 이 보조 플라즈마 챔버(350) 근처에 보조 플라즈마 소스(352)가 배치될 수 있다.
실시예에서, 플라즈마 소스(302) 및 보조 플라즈마 소스(352)는 제한 없이, ICP 소스, CCP 소스, 헬리콘 소스 및 열 소스를 비롯한, 해당 기술분야의 당업자에게 알려진 임의의 타입의 플라즈마 소스일 수 있다. 특정 실시예에서, 플라즈마 소스(302)는 ICP 소스(302)일 수 있다. ICP 소스(302)는 평면 또는 나선형(helical) 코일을 포함하는 평면 또는 원통형의 ICP 소스(302)일 수 있다. 다르게는, ICP 소스는 다른 형상을 가질 수도 있다. 플라즈마 챔버(326)의 일부 및/또는 플라즈마 소스(302)에 인접한 보조 챔버(350) 및/또는 보조 플라즈마 소스(352)는 예를 들면, 석영 또는 알루미나와 같은 유전 물질(dielectric material)로 만들어질 수 있다. 예를 들면, 플라즈마 챔버(326) 및 보조 플라즈마 챔버(350)의 적어도 일부 또는 전체 플라즈마 챔버(326) 및 보조 플라즈마 챔버(350)는 유전 물질로 만들어질 수 있다. 특정 예에서, ICP 소스(302)는 알루미나 또는 다른 유전체 방전 튜브 주위에 배치된 나선형 코일 전극을 포함한다. 다른 예에서, ICP 소스는 세 개의 코일을 가지는 나선형 전극(three-coil spiral electrode)을 포함한다.
차폐물(304)은 패러데이 차폐물을 포함할 수 있다. 실시예에서, 패러데이 차폐물은 ICP 소스(302)와의 외부 간섭을 방지하기에 적합한 임의의 전도 물질(conducting material)을 포함한다. 예로, 차폐물(304)은 동을 포함할 수 있다. 특정 예에서, 차폐물(304)은 ICP 소스(302)의 코일과 그것이 생성한 플라즈마 사이의 용량 결합을 방지하도록 구성될 수 있다. 다르게는, 차폐물(304)은 플라즈마 챔버(326)의 여기로 의거한 임의의 정전기 신호를 방지하도록 구성된다.
기판 지지체(306)는 에칭하는 동안의 반도체를 위해 지지체를 포함한다. 실시예에서, 기판 지지체(306)는 전극을 포함한다. 어떤 예에서, 기판 지지체(306)는 접지 전극이다. 어떤 예에서, 기판 지지체(306)는, RF 전자계 또는 직류(DC) 펄싱에 따라 바이어스 전압을 생성 및 유지하도록 구성된 바이어스 전극을 포함한다. 다른 실시예에서, 기판 지지체(306)는 플라즈마 챔버(326)의 하부(330)를 통해 플라즈마 챔버(326)에 들어간다. 예에서, 기판 지지체(306)는 플라즈마 챔버(326)의 하부(330)에서 또는 그 가까운 곳에서 기판(301)을 지지한다.
경계 전극(308)은 기판 지지체(306)에 근접하여 배치된 전기 전도 물질을 포함한다. 몇몇 실시예에서, 경계 전극(308)은 플라즈마 챔버(326)의 하부(330) 근처의 지지 시스템(316) 주위에 동심으로(concentrically) 배치될 수 있다. 예로, 경계 전극(308)은 플라즈마 소스(302), 보조 플라즈마 소스(352), 및/또는 상대 전극(310)에 인가된 RF 또는 DC 신호에 따라 바이어스를 인가하도록 구성된다.
상대 전극(310)은 기판 지지체(306) 맞은편에 수직으로(vertically opposite) 배치된 전기 전도 물질을 포함한다. 실시예에서, 상대 전극(310)은 챔버(326) 내의 경계 전극(308) 맞은편에 배치된다. 몇몇 예에서, 상대 전극(310)에는 플라즈마 소스(302), 보조 플라즈마 소스(352), 및 경계 전극(308)에 인가된 RF 또는 DC 신호에 따라 바이어스 전압이 인가된다. 특정 예에서, 상대 전극(310)은 경계 전극(308)의 바이어스 전압과 정반대의 바이어스 전압 또는 펄스형 바이어 전압을 생성한다.
유입구(312)는 챔버(326)를 향한 가스 도관을 포함한다. 실시예에서, 유입구(312)는 챔버(326)의 상부 가까이에 있거나 상부(328) 또는 챔버(326)를 관통한다. 제한 없이, 유입구(312)는 비활성 가스 및 반응 가스를 플라즈마 챔버(326) 내로 도입한다. 예로, 유입구(312)는 가열된 가스를 플라즈마 챔버(326) 및 플라즈마 소스(302)에 공급한다. 특정 예에서, 유입구(312)는 비이이온화(non-ionized) 프로세스 및 반응 가스를 플라즈마 챔버(326) 및 플라즈마 소스(302)에 도입할 수 있다. 다르게는, 유입구(312)는 하나 이상의 보조 플라즈마 소스(352)와 연통되어 있어, 적어도 부분적으로 이온화된 프로세스 및 반응 가스를 플라즈마 챔버(326) 및 플라즈마 소스(302)에 도입한다.
플라즈마 소스(302)는 펄싱 시스템(314)에 연결될 수 있다. 실시예에서, 펄싱 시스템(314)은 펄스형 또는 연속적인 RF 및/또는 DC 신호를 플라즈마 소스(302)에 공급할 수 있는 하나 이상의 전원 공급장치를 포함한다. 몇몇 예에서, 펄싱 시스템(314)은 적어도 하나의 RF 또는 DC 전원 공급장치 및 전력 증폭기를 포함할 수 있다. 몇몇 다른 예에서, 펄싱 시스템(314)은 복수의 RF 또는 DC 전원 공급장치 및 전력 증폭기를 포함할 수 있다. 펄싱 시스템(314)은 임피던스 정합(예컨대, L형) 네트워크를 통해 플라즈마 소스(302)에 연결될 수 있다. 펄싱 시스템(314)은 또한 플라즈마 소스(302)에 임의의 주파수로 전력을 공급하도록 구성 가능하다. 예에서, 펄싱 시스템(314)은 주기적인 펄스에서 플라즈마 소스(302)로부터의 전력을 차단(cut) 또는 제거하도록 구성된다. 특정 예에서, RF 또는 DC 전원 공급장치는 영 볼트와 미리 정해진 고전압 사이의 구형파 함수(square wave function)를 가지는 플라즈마 소스(302)를 미리 정해진 주파수로 제공할 수 있다. 해당 기술분야의 당업자라면 코일 제거 또는 플라즈마 형성의 증대를 통한 RF 전류의 제거 또는 변경(altering)에 대해 알 수 있다.
기판 지지체(306)는 지지 시스템(316)에 연결된다. 실시예에서, 지지 시스템(316)은 기판 지지체(306)를 포함하는 전기 회로를 포함한다. 예로서, 지지 시스템(316)은 RF 함수 발생기 또는 DC 소스를 포함한다. 지지 시스템(316)은 RF 함수 발생기 또는 DC 소스로부터의 전기 펄스에 따라 기판 지지체(306)에 바이어스 전압을 생성하도록 구성된다. 특정 구성에서, 지지 시스템(316)은 기판 지지체(306)에서 바이어스 전압으로서 펄싱 시스템(314)으로부터 RF 또는 DC 전류를수신한다. 또, 기판 지지체(306)의 바이어스 전압은 시스템(300)의 다른 전극과 협력하여 펄싱될 수 있다.
경계 전극(308)은 제1 전압 시스템(318)에 연결된다. 실시예에서, 제1 전압 시스템(318)은 경계 전극(308)을 포함하는 전기 회로를 포함한다. 예에서, 제1 전압 시스템(318)은 전기 접지, RF 함수 발생기, 또는 DC 소스이다. 특정 예에서, 제1 전압 시스템(318)은 DC 소스에 따라 경계 전극(308)에 바이어스 전압을 생성하도록 구성된다. 특정 구성에서, 제1 전압 시스템(318)은 펄싱 시스템(314)으로부터 RF 또는 DC 전류를, 경계 전극(308)에서의 바이어스 전압으로서 수신한다. 또, 경계 전극(308)의 바이어스 전압은 시스템 내의 다른 전극과 협력하여 펄싱될 수 있다.
상대 전극(310)은 제2 전압 시스템(320)에 연결된다. 실시예에서, 제1 전압 시스템(318)은 상대 전극(310)을 포함하는 전기 회로를 포함한다. 예에서, 제2 전압 시스템(320)은 전기 접지, RF 함수 발생기 또는 DC 소스이다. 특정 예에서, 제2 전압 시스템(320)은 DC 소스에 따라 상대 전극(310)에서의 바이어스 전압을 생성하도록 구성된다. 특정 구성에서, 제2 전압 시스템(320)은 펄싱 시스템(314)으로부터 RF 또는 DC 전류를, 상대 전극(310)에서의 바이어스 전압으로서 수신한다. 또, 상대 전극(310)의 바이어스 전압은 시스템(300) 내의 다른 전극과 협력하여 펄싱될 수 있다.
가스 유입구(312)는 유체가 흐를 수 있게(fluidly) 가스 소스(322)에 연결된다 실시예에서, 가스 소스(322)는 플라즈마 소스(302)에 도입하기 위한 프로세스 가스와 반응 가스 혼합물을 포함한다. 예에서, 프로세스 가스는, 이온화되어 플라즈마 소스(302)에서 플라즈마를 형성하게될 비활성 가스를 포함한다. 특정 예에서, 프로세스 가스는 희가스(novle gas), 질소, 수소, 산소, 산소화 가스(oxygenated gas), 또는 이들의 조합을 제한 없이 포함한다. 반응 가스는 플라즈마 소스(302)에서의 부분적인 이온화 후에 기판(301)에 의해 화학 흡착될 임의의 가스를 포함한다. 특정 예에서, 반응 가스는 할로겐, 할로겐화 탄소, 할로겐화물, 또는 다른 할로겐화된 가스를 제한 없이 포함한다. 다른 예에서, 프로세스 가스 및 반응 가스는 ALET에 적합한 임의의 가스일 수 있다. 실시예에서, 가스 소스는 부피로 약 90%보다 큰; 다르게는 부피로 약 95%보다 큰 농도의 프로세스 가스를 포함하고; 특정 예에서, 가스 소스는 부피로 약 99%보다 큰 농도의 프로세스 가스를 가진다.
열 도관(336)은 시스템 내의 가스의 온도를 변경하도록 구성된다. 실시예에서, 냉각 도관은 시스템(300)과 열 접촉(thermal contact)하고 냉각 액체 또는 가스를 운반하도록 구성된 임의의 도관일 수 있다. 예에서, 냉각 도관(336)은 원통형 벽(322), 및 차폐물(304)과 열 소통한다(in thermal communication with). 실시예에서, 냉각 도관(336)은 원통형 벽(332)과 차폐물(304)를 결합하는, 챔버 하부(330)와 같은 플랜지와 열 소통하도록 배치된다.
펌프(324)는 반응 챔버(326) 내의 가스 압력을 약 1 mTorr로 줄이도록 구성된 임의의 펌프일 수 있다. 실시예에서, 펌프(324)는 플라즈마 챔버(326) 내의 압력을 약 1 mTorr와 약 500 mTorr 사이; 다르게는 약 5 mTorr와 약 250 mTorr 사이; 또 다르게는 약 10 mTorr와 약 100 mTorr 사이로 낮추고 유지하도록 구성된다. 특정 예에서, 펌프(324)는 챔버(326) 내에서 압력을 약 10 mTorr와 약 100 mTorr 사이로 동작시킨다. 예에서, 펌프(324)는 적어도 하나의 진공 펌프를 포함한다. 실시예에서, 펌프(324)는 터보 진공 펌프 및 드라이 펌프를 포함한다. 이론에 의한 제한 없이, 펌프(324)는 이온화된 가스, 에칭된 생성물, 및 다른 가스의 오염물의 챔버를 진공 배기시키기 위해 임의의 압력 범위 내에서 동작하도록 구성될 수 있다.
다른 ALET 프로세스: 도 4를 다시 참조하면, 도 4에는 본 발명의 다른 실시예 따른 ALET 프로세스를 제어하는 다른 예시적인 방법이 도시되어 있다. 도 4는, 예를 들면, 도 3에 나타낸 ALET 시스템의 여러 구성요소에 인가된 RF/DC 전력/전압 신호의 타이밍 시퀀스를 나타낸다. 실시예에서, 신호는 ALET 프로세스 동안에 플라즈마의 물리적 및 화학적 성질을 제어하기 위해 사용될 수 있다.
도 3을 간략하게 참조하면, 플라즈마 소스(302)는 반응물(예컨대, Cl 원자)을 공급하기 위해, 도 2에서와 같은, 에칭 스테이지 동안에 대략 1초 정도 RF 전력을 인가받아, 화학 흡착된 층을 형성한다. 실시예에서, 플라즈마 소스는 전체 흡착 단계 동안 내내 RF 전력을 인가받는다. 전술한 바와 같이, 플라즈마는 흡착 단계의 시작 부분 동안에 RF 전력을 인가받을 수 있고 흡착 단계의 후반 부분 동안에는 낮춘 전력을 인가받을 수 있다. 특정 실시예에서, 플라즈마 챔버(326) 내의 플라즈마는 보조 플라즈마 챔버(350)에서 생성된 낮은 전력의, 보조 플라즈마의 꼬리 부분(tail-end)에 의해 점화될 수 있다. 플라즈마의 점화중에, 이온 충격 에너지는 임의의 에칭이 발생하는 것을 방지하기 위해 충분히 낮을 수 있다(<10 eV). 도 2의 단계 252에서와 같이, 에칭 스테이지 동안에, 대략 0.5 s의 펄스형 ICP 기간이 화학 흡착된 층(예컨대, SiClx)을 제거한다. 13.56 MHz의 인가된 RF 전압의 구형파 변조와 같은, 플라즈마 소스 전력의 펄싱은 후술하는 몇가지 이점이 있다.
첫째, 전자 에너지 분포 함수(electron energy distribution function, EEDF)는, 애프터글로에서의 사이클의 전력 오프 부분의 처음 수 ㎲ 동안에, 예를 들면 보통 약 100㎲ 오프 시간에 걸쳐, 플라즈마 밀도의 실질적인 손실 없이 빠르게 냉각한다. 결과 낮은 에너지 시간 평균된(wer energy time-averaged) EEDF는 공급 가스의 해리 정도를 제어하는 일정한 레벨을 제공한다. 둘째, 약 100㎲ 애프터글로 기간의 대부분 동안에, 이 실험에서 최근에 입증된 바와 같이, 기판에 대해 단일 에너지 이온 선속(mono-energetic ion flux)을 발생시킬 수 있다. 이 예에서, 양의 DC 전압의 펄스는 경계 전극에 인가되어, 플라즈마 전위를 상승시키고 양이온을 더 낮은 전위를 가지는 기판 표면 쪽으로 밀 수 있다. 따라서, 접지된 기판은 도 7 및 도 8에 나타낸 바와 같이, VDC1과 동등한 에너지를 가지는 이온에 의해 충격을 받을 수 있다. 이온 에너지 분포의 제어는, 기저 기판의 물리적 스퍼터링 없이, 화학 흡착된 할로겐화된 층의 화학적 스퍼터링의 수행에 중요하기 때문에, 극히 좁은 IED, 따라서 극도의 민감도를 얻는 이 방법은 단층 정밀도로 ALET를 달성하는 효과적인 수단이다. 동기 펄스형 침지 전극 바이어스 전압(synchronous pulsed-immersed-electrode-bias-voltage) 기간을 가지는 이 펄스형 주 ICP(pulsed-main-ICP)는 할로겐화된 에칭 생성물층을 떼어내기에 충분히 길다(예컨대, 0.5 초). 그러나, 해당 기술분야의 당업자는, 예를 들어 기판 지지체를 통해, 음의 DC 또는 RF 전압이 기판에 인가될 수 있음을 알 것이다. 프로세스중에, 기판 전위는 음 이온을 끌어들이도록 낮춰질 수 있다. 순(net) 양이온 충격은 양전하를 기판 상에 축적시킬 수 있다. 그러나, 경계 전압이 영으로 돌아가고, 플라즈마가 자신의 자연발생적인 Vp에 근접할 기회를 가진 후에, 접지보다 높은 전위로 대전된 모든 표면은 맨 처음 양이온 선속을 넘는 과잉 전자 선속을 받는 것이고, 그 전위를 거의 접지 전위인 부유 전위(floating potential)로 다시 가져간다. 양전하의 중성화(neutralization)를 가속시키기 위해, 예를 들면 연속파(continuous wave) ICP 전력이 온인 동안에, 상대 전극(310)에 큰 음의 DC 바이어스를 인가할 수 있다. 이 음의 전압은 Vp에 영향을 미치지 않을 수 있다. 그러나, 그 결과로 생기는 상대 전극(310)의 고에너지 이온 충격은 최대 시스 전위(full sheath potential)로 가속되는 이차 전자(secondary electron)를 발생시킬 수 있다. 이 고에너지의 "방출(ballistic)" 전자는 작은 산란 단면적을 가질 수 있고 거의 수직 입사(normal incidence)로 기판에 충격을 가할 수 있어, 높은 종횡비의 절연 구조체에서도 하부에서의 양전하를 보상한다. 방출 전자는 또한, 강화된 플라즈마 밀도와 더 낮은 벌크 Te와 같이, 벌크 플라즈마에 유리한 영향을 미칠 수 있다.
다르게는, 절연 기판의 경우, 애프터글로 주기 내에 기판 전극에 대한 동기 펄스형 RF 전압의 인가는 음의 자기 바이어스(self-bias), 및 기판의 고에너지 양이온 충격을 야기한다. 플라즈마 밀도 및 인가된 주파수에 따라, RF 바이어스된 기판(301)에 대한 이온 에너지는 평균 시스 전위에서 피크가 되거나 이중 피크(double peak)가 될 수 있다. 그 결과로서 생긴 이온 에너지 분포는, ALET가 필요로 하는 극도의 민감도를 달성하기에는 보통 너무 넓다. 초고주파수(100 MHz)의 바이어스의 인가는 IED를 좁게 할 수 있지만, IED의 폭은 이온 질량에 의존하므로, 혼합된 가스 플라즈마에서 IED를 제어하는 것은 매우 어렵다. 좁은 이온 에너지 분포는 맞춤형 바이어스 펄스(tailored bias pulse)를 사용하여 취득될 수 있다. 전도 기판(conducting substrate)의 경우, 동기 펄스형 DC 음의 바이어스가 애프터글로 동안에 기판 지지체 전극에 직접 인가될 수 있고, 전술한 경계 전압의 경우와 마찬가지로, 거의 단일 고에너지 이온 충격이 임의의 원하는 에너지로 달성될 수 있다.
이제 도 5를 참조하면, ALET 프로세스의 프로세스 흐름도가 나타나 있다. 나타낸 바와 같이, 방법(500)은 일반적은 두 개의 단계: 흡착 스테이지(502)와 에칭 스테이지(550)를 포함한다. 알 수 있듯이, 각 스테이지 내에는 방법(500)을 순차로 수행하거나 동시에 수행할 때의 하나 이상의 단계 또는 증분 단계(incremetal step)들을 포함할 수 있다. 다시 말해, 도 5는 순차로 수행되는 단계들을 나타내지만, 이 단계들은 동시에 수행될 수 있거나, 단계들 중 적어도 일부가 동시에 수행될 수 있다. 도 5에 나타낸 바와 같이, 흡착 스테이지(502)는 기판 위치결정 단계(504), 반응물 생성 단계(510), 및 반응물 흡착 단계(520)를 포함할 수 있다. 한편, 에칭 스테이지(550)는 전위차 증대 단계(570)를 포함할 수 있다. 전술한 바와 같이, 플라즈마와 기판 사이의 전위차는 플라즈마 또는 기판에 RF 또는 DC 전압을 인가함으로써 증가될 수 있다. 선택적으로, 에칭 스테이지(550)는 또한 기판 전하 중성화 단계(552) 및 플라즈마 펄싱(plasma pulsing) 단계(560), 및 에칭 생성물 제거 단계(580)를 포함할 수 있다. 전술한 바와 같이, 전하 중성화 단계(552)는 상대 전극을 바이어싱함으로써 수행될 수 있다. 본 ALET 프로세스(500)는 종래의 ALET 프로세스보다 상당히 빠를 수 있다. 더욱 구체적으로는, 기판 위치결정 단계(504) 후에, 흡착을 유지하는 단계(520)는 약 0.01 초와 약 10 초 사이; 다르게는, 약 0.1 초와 약 5초 사이; 실시예에서는 약 0.5초와 약 1.5 사이의 시간을 필요로 할 수 있다. 또, 에칭 스테이지(550)는 약 0.01초와 약 10초 사이; 다르게는 약 0.1초와 약 5초 사이; 그리고 실시예에서는 약 0.2초와 약 1초 사이의 시간을 필요로 할 수 있다. 에칭 생성물 제거 단계(580) 후에, 스테이지들 또는 단계들은 원하는 에칭 깊이에 도달될 때까지 전체적으로 또는 부분적으로 반복될 수 있다. 특정 예에서, 전하 중성화 단계(522) 및 플라즈마 소스 펄싱 단계(560), 및 전위차 증대 단계(570)는 동시에 수행되거나, 대신에 동기 수행될 수 있다.
더욱 구체적으로는, 흡착 스테이지(502)는 기판 상에 반응물을 흡착하기에 적합한 개시된 빠른 ALET 프로세스에서의 단계들을 포함할 수 있다. 흡착 스테이지(502)의 제1 단계는, 챔버 내에 기판을 배치하는 기판 위치결정 단계(504)를 포함한다. 몇몇 실시예에서, 기판은 기판 지지체에 탑재된다. 특정 예에서, 기판 지지체는 전극일 수 있다.
기판을 챔버 내에 배치할 때, 챔버 내의 압력은 감소될 수 있다. 실시예에서, ALET 프로세스 동안의 압력은 약 1 mTorr와 약 500 mTorr 사이; 다르게는 약 5 mTorr와 약 250 mTorr 사이; 또 다르게는 약 10 mTorr와 약 100 mTorr 사이로 유지된다. 특정 예에서, 상기한 압력은 기판 위치결정 단계(504) 동안에 약 10 mTorr와 약 75 mTorr 사이로 유지되고 거기서 전체 ALET 프로세스 동안 내내 유지된다. 다른 예에서, 압력은 새로운 ALET 프로세스 동안에 걸쳐 임의의 시각에 IED 제어를 제공하기 위해 변경될 수 있다. 해당 기술분야의 당업자가 알고 있듯이, 반응 챔버에서의 압력 증대는 가스 입자 및 라디칼의 증가와 상관 있을 수 있다. 이론에 의한 제한 없이, 증대된 압력은 이온의 피크 에너지를 감소시키고 IED를 넓힐 수 있으며, 그 반대도 가능하다.
반응물 형성 단계(510) 동안에, 공급 가스가 챔버 내로 도입될 수 있다. 몇몇 실시예에서, 이 공급 가스는 비활성 가스와 반응 가스를 포함할 수 있다. 이론에 의한 제한 없이, 반응 가스는 이온화될 때, 반응종을 포함할 수 있다. 본 실시예에서, 반응 가스는 Cl2를 포함할 수 있다. 그러나, 해당 기술분야의 당업자는 다른 할로겐 함유 가스와 같은, 다른 반응 가스도 사용될 수 있음을 알 수 있을 것이다. 한편, 비활성 가스는 ArDMF 포함할 수 있다. 그러나 해당 기술분야의 당업자는 다른 비활성 가스도 사용될 수 있음을 알 수 있을 것이다. 본 실시예에서, 비활성 가스는 반응 가스보다 부피로 더 높은 농도를 가질 수 있다. 몇몇 예에서, 반응 가스는 부피로 혼합된 가스 중에 약 0.01%와 약 20% 사이; 다르게는 약 0.01%와 약 15% 사이; 또 다르게는 약 0.01%와 약 10% 사이의 농도를 포함할 수 있다. 다른 예에서, 반응 가스는 혼합된 가스 중의 부피로 약 0%보다 많고 약 5%보다 작은 농도를 포함할 수 있다.
반응 가스 및 비활성 가스를 포함하는 공급 가스는 플라즈마 소스에 의해 이온화되어, 특히 반응물, 반응 가스 이온, 비활성 가스 이온을 함유하는, 플라즈마를 형성할 수 있다. 전술한 바와 같이, 다양한 유형의 플라즈마 소스가 사용될 수 있다. 특정 실시예에서, 공급 가스는 약 200K보다 높은 온도로; 다르게는, 약 400K보다 높은 온도로 가열될 수 있다. 특정 예에서, 가스 스트림은 RF 전자계를 또한 겪는다. 이 여기된 상태의 종, 라디칼, 이온, 전자, 및 광자의 결합을 포함하는 플라즈마의 성분은 에칭 챔버 내로 주입된다. 부분적으로 이온화된 반응 가스는 챔버 내의 전하 바이어스에 따라 기판을 향해 방향성 있게 끌어 당겨지거나 기판으로부터 멀어진다.
반응물 흡착 단계(520) 동안에, 반응물이 기판의 표면 상에 흡착 도는 화학 흡착된다. 실시예에서, 챔버 내의 전압 바이어스는 이온화된 반응 가스를 기판으로 끌어당길 수 있다. 기판은, 홀전자 또는 불포화 결합과 같은, 반응물을 흡착하기 위해 한정된 수의 표면 사이트(surface site)를 가진다. 반응물은, 흡착 스테이지의 종료 때까지, 기판 상의 모든 이용 가능한 표면 사이트 또는 불포화 결합이 반응물에 의해 점유될 때까지, 기판 표면 상에의 흡착을 계속할 것이다. 그 결과, 반응물 원자의 단층 및 기저 기판층의 단층을 포함하는 생성물층을 형성될 수 있다. 반응물 흡착 단계(520) 동안에, 플라즈마 및 이온은 낮은 에너지(예컨대, 10 eV 이하)로 유지되어 에칭을 회피하거나 최소화한다.
흡착 스테이지(502)의 완료 후에, 에칭 스테이지(550)를 수행할 수 있다. 전술한 바와 같이, 에칭 스테이지(550)는 전위차 증대 단계(570)를 포함할 수 있다. 이 단계 동안에, 플라즈마와 기판 사이의 전위차는 플라즈마로부터의 이온이 원하는 에너지 범위에서 기판에 충격을 가할 수 있도록 증대된다. 예를 들면, 이온 에너지는, 물리적 스퍼터링 임계값보다 낮지만 화학적으로 도움을 받는 스퍼터링의 임계값보다는 높게 선택될 수 있다. 전술한 바와 같이, 전술한 바와 같이, 전위차는 플라즈마, 기판, 또는 이 모두에 DC 또는 RF 전압을 인가함으로써 증대될 수 있다. 또 인가된 전압은 연속(도 2에 나타낸 바와 같음) 또는 펄스형(도 4에 나타낸 바와 같음)일 수 있다. 펄스형 전압이 인가되면, RF 펄스가 전압 펄스들 사이에, 플라즈마 소스에 인가될 수 있다. 몇몇 실시예에서, RF 펄스의 인가는 플라즈마 소스(예컨대, ICP 소스)를 주기적인 구형파(square wave) 함수에 종속시키는 것을 포함할 수 있으며, 구형파는 영 전력에서 미리 정해진 전력까지 확장한다. 이론에 의한 제한 없이, 미리 정해진 고전압은 생성물층을 제거하기에 충분한 이온 에너지를 가지는 이온을 생성할 수 있다. 특정 예에서, 이 에너지를 가지는 이온은 IED에 대해 이온 에너지 하한을 설정한다. 역으로, 미리 정해진 고전압은 기판을 손상시키지 않는 더 낮은 이온 에너지를 가지는 이온을 생성할 수 있다는 것을 이해할 수 있을 것이다. 특정 예에서, 이 에너지를 가지는 이온은 IED에 대해 이온 에너지 상한을 설정한다. 더욱 구체적으로, ICP 플라즈마의 고전압 펄싱은, IED가 이들 파라미터에 의해 완전히 떨어지도록 플라즈마 펄싱 단계(560) 동안에 선택된다.
선택적인 플라즈마 펄싱 단계(560) 동안에, 구형파 함수는 1 마이크로초와 약 500 마이크로초 사이; 다르게는 약 10 마이크로초와 약 250 마이크로초 사이 동안에 펄스를 발생시킬 수 있고; 특정 예에서, 플라즈마는 약 25 마이크로초와 약 100 마이크로초 사이 동안에 펄싱될 수 있다. 또, 구형파 함수는, 약 10 마이크로초와 약 750 마이크로초 사이; 다르게는 약 50 마이크로초와 약 500 마이크로초; 또 다르게는 약 100 마이크로초와 약 250 마이크로초 사이 동안에 약 영 볼트로 플라즈마에 펄스를 발생시킨다. 플라즈마가 약 영 전력으로 펄싱될 때, 이온의 애프터글로는 여전하다. 이론에 의한 제한 없이, 애프터글로는 생성물층의 제거가 필요한 IED 내에 있는 이온을 포함한다.
선택적으로, 선택적인 전화 중성화 스템(552)에서, 상대 전극에는 음의 바이어스 전압이 인가될 수 있다. 몇몇 예에서, 상대 전극에는 음의 전압이 인가되어 상대 전극으로 양으로 대전된 이온을 끌어들인다. 양으로 대전된 이온의 상대 전극에의 충격은, 거의 수직 입사로 기판에 충격을 가할 수 있는 고에너지의 이차 전자를 발생시킬 수 있다. 또, 이차 전자는 플라즈마 밀도를 증대시키고 벌크 전자 온도 Te를 낮춘다.
플라즈마에 인가된 펄스들 사이에는, 양의 전압 펄스가 경계 전극에 인가될 수 있다. 특정 예에서, 구형파 함수는 약 10 마이크로초와 약 750 마이크로초 사이; 다르게는 약 50 마이크로초와 약 500 마이크로초 사이; 또 다르게는 약 100 마이크로초와 약 250 마이크로초 사이 동안, 경계 전극에 양으로 대전된 전압 바이어스까지DC 펄스를 발생시킨다. 예에서, 양으로 대전된 전압 바이어스는 고전압 플라즈마가 없을 때에만 존재한다. 대안적으로는, 양으로 대전된 전압 바이어스는 생성물층을 에칭하는 기간(252) 내내 존재한다.
특정 예에서, 기판 지지체는 접지되거나, RF, DC 전력이 공급되거나, 이들의 조합일 수 있다. 실시예에서, 기판 스테이지는 경계 전극에 상응하게 펄싱될 수 있다. 또, 특정 기판은 상이한 전도성을 가질 수 있기 때문에, 기판 지지체 바이어스의 펄싱은 시스템의 임의의 전극에 대해, 전술한 바와 같은 IED를 제어하는 추가적인 수단을 제공한다. 더욱 구체적으로는, 기판 지지체에는 음의 DC 전압이 인가될 수 있다. 다르게는, 절연 기판 또는 다른 선택된 조건의 경우에 고주파 RF 펄스 또는 맞춤형 DC 펄스가 기판 지지체에 인가될 수도 있다.
ALET 펄싱 : 전술한 바와 같이, 에칭 스테잊(550) 동안의 선택적인 플라즈마 펄싱은 공급 가스 및 IED의 해리를 제어하는 능력을 제공한다. 에칭 스테이지(550) 동안에 플라즈마 펄싱을 제공함으로써 기판에 충격을 가하는 이온의 각 분포(angular distribution)를 줄일 수 있다. 충돌이 없는 상태에서, 각 분산(angular spread)은 식 1로 주어진다:
Figure 112011080579353-pct00001
시스 전압, V = Vsh = 50 V 및 Te = 0.3 eV에 대해, 각 분산 θIAD = 3°이다. 훨씬 더 높은 이온 에너지에서 종래의 플라즈마 에칭에 비교할 만한, 이 작은 각 분산은, 다수의 원자층을 통해 일정한 깊이의 에칭을 얻고, 또한 스침 각(glancing angle) 충돌 및 측벽 손상에 의한 형상부(feature)들의 측벽에의 이온 에너지 전달을 최소화하는 데 매우 바람직하다.
해당 기술분야의 당업자는 전하, 이온화, 전자기 전위 에 대한 모든 논의는 단지 예시이고 일 실시예에서의 물질의 상태에 관한 모든 논의는 반대 상태에도 동일하게 적용 가능하다는 것을 알 수 있을 것이다. 더욱 구체적으로는, 몇몇 비제한적인 예에서는 음으로 대전된 이온과 전극의 관계를 설명하지만, 해당 기술분야의 당업자는 양으로 대전된 이온과 전극의 상호 작용도 유사한 속성을 따를 것임을 알 것이다.
본 발명의 바람직한 실시예들을 나타내고 설명하였지만, 해당 기술분야의 당업자라면 본 발명의 사상과 원리를 벗어나지 않고서도 이들에 대해 수정을 가할 수 있다. 여기에서 설명한 실시예들은 예시일 뿐이며, 한정하려는 의도는 아니다. 여기에 개시된 본 발명에 대해서는 많은 변형 및 수정이 가능하고, 이들 또한 본 발명의 범위 내이다. 수치 범위 또는 제한을 명시하는 경우, 그러한 명시적인 범위 또는 제한은, 그러한 명시된 범위 또는 제한 내에 속하는 유사한 크기에 대한 반복 범위 또는 제한도 포함하는 것으로 이해되어야 한다(예컨대, 약 1에서 약 10까지는, 2, 3, 4 등을 포함하고; 0.10보다 크다는 0.11, 0.12, 0.13, 등을 포함한다). 청구항의 어떤 요소에 대한 "선택적으로"라는 용어를 사용하는 것은, 그 대상 요소가 필요하거나, 또는 필요하지 않다는 것을 의미하기 위해서이다. 두 대안 모두가 청구항의 범위에 속하도록 의도된다. "포함하는", "가지는" 등의 넓은 용어의 사용은 "구성되는", "필수적으로 구성되는", "실질적으로 구성되는" 등과 같은 보다 좁은 용어를 뒷받침하기 위한 것으로 이해되어야 한다. 따라서, 보호 범위는 이상의 설명에 의해 제한되지 않으며, 그 범위가 청구항들의 내용의 모든 등가물을 포함하는, 첨부된 청구범위에 의해서만 제한되어야한다. 각 청구항은 본 발명의 실시예로서 명세서에 포함된다. 따라서, 청구항들은 본 발명의 추가적인 설명이고 바람직한 실시예의 추가되는 것이다. 배경기술의 설명에서의 참조문헌에 대한 논의는, 그것이 본 발명에 대한 종래기술이라는 것을 인정하는 것은 아니며, 본 출원의 우선일 이후에 발행된 모든 참조문헌에 대해 특히 그러하다. 여기에 인용한 모든 특허, 특허출원, 및 공개공보의 개시내용은, 여기에 설명한 사항에 대해 예시적, 절차적 또는 다른 세부사항의 보충을 제공할 정도까지 인용에 의해 본 명세서 포함된다.
본 발명에 대한 여러 구체적인 실시예를 더 설명하기 위해, 이하의 예를 제공한다:
실험 장치: 도 3 및 도 6은 본 연구에 사용된 실험 장치를 개략적으로 나타낸다. 길이 17.8 cm, 내경 8.6 cm의 알루미늄 튜브 내의 3턴(turn) 나선형 코일로 유도 결합 플라즈마(ICP)를 점화하였다. 동(copper)의 패러데이 차페물이 코일과 플라즈마 사이의 용량 결합을 방지하였다. 정육면체의 스테인리스 스틸(stainless steel, SS) 챔버에는 방전 튜브를 어댑터 플랜지(adaptor flange)를 통해 연결하였다. 그 플랜지에 패러데이 차폐물을 냉각시키고 방전 튜브의 과열을 방지하기 위하여 수로(water channel)를 제공하였다. 드라이 펌프(dry pumo)에 의해 뒷받침되는 300 l/s 터보 펌프(turbo pump)로 시스템을 펌핑하였다. 최대 0.1 Torr 스케일 설정에서, 플라즈마의 하류에 탑재된 MKS 629 정전용량식 마노미터(capacitance manometer)로 압력을 측정하였다. 플라즈마 없는 상태에서의 교정 실험(calibration experiment)은, 방전 영역에서의 압력이 압력계의 위치에서 측정된 것보다 약 30-40% 높다는 것을 보여주었다. 아래에 보고된 압력은 모두 교정된 값이고 플라즈마 영역을 나타낸다.
스테인리스 스틸 전극이 플라즈마 소스의 상부 전극을 구성하였다. 상부 전극은, 전체 표면적을 약 300 cm2까지 증가시키고 챔버 코팅으로부터 스퍼팅되는 금속을 최소화하기 위해 전극에 용접된 세 개의 동축 원통형의 SS 링을 가졌다. 랭뮤어 프로브 측정 중에 프로브가 VP에 근접하여 바이어싱 되었을 때, 넓은 표면적이 필요한 것으로 밝혀졌다. 그리고 넓은 접지면은 적절한 전자 전류를 공급하여, 인위적인 Vp의 증가를 방지하기 위해 필요하였다. 상부 전극의 중앙에서 1 mm 직경의 구멍을 통해 방전 튜브 내로, 고순도(high purity), 99.999 %의 아르곤 가스를 공급하였다.
전력 증폭기(ENI Model A-500)를 공급하는 함수 발생기(HEWLETT PACKARD ® Model 3325A)를 사용하여 13.56 MHz의 플라즈마 전력을 공급하였다. 증폭된 것의 출력은 L형 정합 네트워크를 통해 코일에 연결하였다. 송출(forward) 전력 및 반사된(reflected) 전력을 정합 네트워크 앞에 배치된 인라인 버드 미터(in-line Bird meter)로 모니터하였다. 14 mTorr의 일반적인 연속파(continuous wave, cw) 300W 아르곤에 대해, 반사 전력은 1-2W 이었다. 플라즈마에서 방산된(dissipated) 실제 전력은 전력 손실로 인해 정합 박스에 전달된 순 전력보다 약간 낮다. 펄스형 플라즈마 작동(plasma operation)과 관련하여, RF 펄스를 다른 함수 발생기 (BNC Model 645)로 진폭 변조하였다. 4 채널 오실로스코프(TEKTRONIX® Model TDS 2024B)를 사용하여 파형을 모니터하였다. 펄스형 플라즈마 실험의 기본 조건은 120W 시간-평균 송출 전력, 8W 반사된 전력, 10 kHz 전력 변조 주파수, 20% 튜티 사이클, 14 mTorr 압력, 그리고 40 분당 표준 입방 센티미터(standard cubic centimeters per minute, sccm)의 아르곤 가스 유속이었다. 인가된 변조 주파수 및 듀티 사이클은, 100 ㎲(마이크로초)의 펄스 주기 동안에, 20 ㎲의 플라즈마 온(액티브 글로)과 80 ㎲ 플라즈마 오프(애프터글로)를 초래한다.
실험 동작의 개요: 도 2 및 도 4는 플라즈마의 물리적 및 화학적 성질을 제어하기 위해 사용된 타이밍 시퀀스의 예를 나타낸다. 먼저 대략 1 s(초) 연속파 주(main) RF ICP를 저전력 보조 플라즈마의 꼬리 부분에 의해 점화되고, 반응물(예컨대, Cl)을 제공하여 화학 흡착된 층을 형성한다. 이 시간 동안에, 이온 충격 에너지는 어떤 에칭을 일으키기에는 너무 낮다(<10 eV). 일반적으로 ~0.5s의 펄스형 ICP 기간이 화학 흡착된 층(SiClx)을 제거한다. 주 RF-ICP 플라즈마 소스 전력(예컨대, 13.56 MHz 인가된 RF 전압의 구형파 변조)의 펄싱은 몇 가지 이점이 있다. 첫째, 전자 에너지 분포 함수(electron energy distribution function, EEDF)는, 사이클의 전력 오프 부분의 처음 수 ㎲ 동안에("애프터글로"에서), 플라즈마 밀도의 실질적인 손실 없이 빠르게 냉각한다(보통 약 100㎲ 오프 시간에 걸쳐). 그 결과로 생기는 더 낮은 에너지 시간 평균된(energy time-averaged) EEDF는 공급 가스의 해리 정도를 제어하는 일정한 레벨을 제공한다. 둘째, 약 100㎲ 애프터글로 기간의 대부분 동안에, 이 실험에서 최근에 입증된 바와 같이, 기판에 대해 단일 에너지 이온 선속(mono-energetic ion flux)을 발생시킬 수 있다. 이 예에서, 양의 DC 전압의 펄스는 경계 전극에 인가되어, 플라즈마 전위를 상승시키고 양이온을 더 낮은 전위를 가지는 기판 표면 쪽으로 민다. 따라서, 접지된 기판은 도 7 및 도 8에 나타낸 바와 같이, VDC1과 동등한 에너지를 가지는 이온에 의해 충격을 받을 수 있다. 이온 에너지 분포의 제어는, 기저 기판의 물리적 스퍼터링 없이, 화학 흡착된 할로겐화된 층의 화학적 스퍼터링의 수행에 중요하기 때문에, 극히 좁은 IED, 따라서 극도의 민감도를 얻는 이 방법은 단층 정밀도로 ALET를 달성하는 효과적인 수단이다. 동기 펄스형 침지 전극 바이어스 전압 기간을 가지는 이 펄스형 주 ICP는 할로겐화된 에칭 생성물층을 떼어내기에 충분히 길다(예컨대, 0.5 초).
순 양이온 충격은 양전하를 절연 기판 상에 축적시킬 수 있다. 그러나, 경계 전압이 영으로 돌아가고, 플라즈마가 자신의 자연발생적인 Vp에 근접할 기회를 가진 후에, 접지보다 높은 전위로 대전된 모든 표면은 맨 처음 양이온 선속을 넘는 과잉 전자 선속을 받는 것이고, 그들의 전위를 거의 접지 전위인 부유 전위로 다시 가져간다. 예를 들면, 도 3, 5, 및 6에 나타낸 바와 같이, 양전하의 중성화를 가속시키기 위해, 예를 들면 연속파 ICP 전력이 온인 동안에, 상대 전극에 큰 음의 DC 바이어스를 인가할 수 있다. 이 음의 전압은 Vp에 영향을 미치지 않을 수 있다. 그러나, 그 결과로 생기는 상대 전극의 고에너지 이온 충격은 최대 시스 전위까지 로 가속되는 이차 전자를 발생시킬 수 있다. 이 고에너지의 "방출(ballistic)" 전자는 작은 산란 단면적을 가지고 거의 수직 입사로 기판에 충격을 가할 수 있어, 높은 종횡비의 절연 구조체에서도 하부에서의 양전하를 보상한다. 방출 전자는 또한, 강화된 플라즈마 밀도와 더 낮은 벌크 Te와 같이, 벌크 플라즈마에 유리한 영향을 미칠 수 있다.
다르게는, 절연 기판의 경우, 애프터글로 주기 내에 기판 전극에 대한 동기 펄스형 RF 전압의 인가는 음의 자기 바이어스, 및 기판의 고에너지 양이온 충격을 야기할 것이다. 플라즈마 밀도 및 인가된 주파수에 따라, RF 바이어스된 기판에 대한 이온 에너지는 평균 시스 전위에서 피크가 되거나 이중 피크가 될 수 있다. 그 결과로서 생긴 이온 에너지 분포는, ALET가 필요로 하는 극도의 민감도를 달성하기에는 보통 너무 넓다. 초고주파수(100 MHz)의 바이어스의 인가는 IED를 좁게 할 수 있지만, IED의 폭은 이온 질량에 의존하므로, 혼합된 가스 플라즈마에서 IED를 제어하는 것은 매우 어렵다. 좁은 이온 에너지 분포는 맞춤형 바이어스 펄스를 사용하여 취득될 수 있다. 전도 기판의 경우, 동기 펄스형 DC 음의 바이어스가 애프터글로 동안에 기판 지지체 전극에 직접 인가될 수 있고, 전술한 경계 전압의 경우와 마찬가지로, 거의 단일의 고에너지 이온 충격이 임의의 원하는 에너지로 달성될 수 있다.
가장 단순한 구성의 ALET 단계들은, 예로서 Ar(아르곤) 내에서 Cl2와 함께 Si 에칭을 사용한, 도 2의 예에 나타나 있다. 단계 1(보통 1초 지속함)에서는, 샘플을 접지 전위의 기판과 함께 연속파 RF 유도 결합 플라즈마에 노출시킨다. 이 플라즈마는 주로 Cl2에 대해 매우 작은 양(<1%)을 가지는 비활성 가스이다. 유도성 소스 및 비교적 높은 압력의 정전기 차폐물로 인해, 기판에 충격을 가하는 이온의 에너지는 화학적 스퍼터링의 임계값보다 작을 것이므로, 단계 1 동안에는 에칭이 일어나지 않을 것이다. Cl 원자는 실온에서 p형 또는 적당히 도핑된 n형 Si를 에칭하지 못한다. 공급 가스 내의 Cl2의 해리로 인한 Cl 원자는 염화 생성물(예컨대, Si의 경우, SiClx)의 포화된 층이 약 1초 내에 형성되게 할 수 있다.
약 0.5 초 지속하는 단계 2에서는, 펄스형 주 ICP를 사용할 것이고 양의 DC 바이어스 펄스가 경계 전극에 동기를 맞춰 각 주 ICP 펄스의 애프터글로 내로 약 10 ㎲ 인가되어, 생성물층을 화학적으로 스퍼터링한다. 다르게는, 단계 2의 바이어스는 (전도) 기판 전극에 인가되는 음의 DC 전압 또는 선택된 조건 하의 (절연) 기판 전극에 인가되는 고주파 RF 펄스나 맞춤형 펄스일 수 있다. 이 단계는 에칭 생성물로부터의 광 방출에 의해 모니터되어, 화학적 스퍼트링 수율 및 프로세스를 제어하는 수단에 관한 기본 정보를 제공할 것이다. 하나의 단층에 대한 에칭 레이트는 일 초 내지 수 초 내이다, 즉 미래 소자의 나노미터 스케일 구조에 매우 실제적이고, 펄스형 가스 및 제거 방식(purge scheme)에 기초한 종래의 원자층 에칭에 대해 훨씬 더 빠르다.
에칭 단계 동안에, 이온 에너지는 물리적 스퍼링의 임계값 이하로 선택되지만 화학적으로 도움을 받는 스퍼터링의 임계값 이상일 수 있다. 에칭 생성물의 화학 흡착된 층이 화화적으로 스퍼터링된 후에 에칭이 정지(자체 한정)될 것이기 때문에, 이 형태(regime)는 최소의 손상과 더불어 매우 높은 민감도를 제공한다.
랭뮤어 프로브 : 이온 및 전자 밀도(ni 및 ne), 플라즈마 전위(VP), 부유 전위, 및 전자 에너지 확률 함수(electron energy probability functions, EEPF)를 측정하기 위해 랭뮤어 프로브(Smart Probe, Scientific Systems)를 사용하였다. 이 프로브의 팁 직경은 0.19 mm이고 노출된 길이는 40 mm이다. 보상 전극 및 RF 초크(choke)는 플라즈마 전위의 진동(oscillation)으로 인한 전류-전압(I-V) 특성의 왜곡을 최소화하였다. 패러데이 차폐물로 인해 피크 간(peak-to-peak) 플라즈마 전위 진동은 불과 1-2 볼트이었던 본 시스템에서, 이것은 문제가 아니었다. 프로프를 방전 튜브 축을 따라 가동하여 공간적으로 분해된 측정값(spatially resolved measurement)을 얻었다. 고속의 데이터 수집 전자기기는 노이즈를 줄이기 위해 100 개의 I-V 특성(주어진 위치 및 주어진 플라즈마 조건에 대해)에 대한 평균을 가능하게 하였다. 제조사가 공급한 소프트웨어를 사용하여 I-V 특성을 해석하였다. 이 분석은 비충돌 시스(collision-less sheath)에 대한 라프렝보와즈(Laframboise)의 궤도 운동 제한(orbital motion limited, OML) 이론에 의존한다. I-V의 이온 전류 영역에 있어, 프로브에 대해 더 큰 음의 전압으로, 시스에서의 충돌(특히, 더 높은 압력에서)은 감쇄될 이온 전류를 발생시킬 것이다. 따라서, 분석에서는 플라즈마 내의 이온 수 밀도를 과소 평가하였을 것이다. 양이온 밀도를 ~0 내지 ~50V 범위 내의 전압을 인가하여 I-V 특성의 이온 포화 형태(ion saturation regime)로부터 추출하였기 때문에, 양이온 밀도는 ~10 mTorr 이상의 압력에서 점점 더 과소 평가되었다. 또한 프로브를 "박스카(boxcar)모드로 동작시켜 펄스형 플라즈마 동작 동안에 시간 분해된 플라즈마 동작을 측정하였다.
지연 필드 에너지 분석기: 접지된 기판 스테이지 상의 그리드를 통과하는 이온의 에너지 분포를 측정하기 위해 지연 필드 에너지 분석기(retarding field energy analyzer, RFEA)를 구성하였다. 이 RFEA는, 도 6에 도시된 바와 같이, 3 mm 간격을 두고 떨어진 스테인레스 스틸 전류 콜렉터 판과 세 개의 니켈 그리드(nickel greed)로 이루어진 스택으로 만들었다. 측면의 18 mm 정사각형 구멍에 의해 50% 개방된 상부 그리드는 플라즈마와 접촉하는 0.3 mm 핀홀로 접지돈 SS 판에 부착되었다. 이 그리드는 핀홀을 넘는 몰딩으로부터 플라즈마 시스를 보호하였다. 중간 및 하부 그리드는 측면의 정사각형 구명(293)에 의해 각각 85% 개방되었다. 중간 그리드에는 플라즈마로부터 전자를 밀어내기 위해 -30 V로 바이어싱하였고, 하부 그리드에는 톱니형 램프 전압(saw-tooth ramp voltage)으로 바이어싱하여 이온 에너지 분포(ion energy distribution, IED)를 측정하기 위한 에너지 판별기(energy discriminator)로 사용하였다. 콜렉터 판 상의 전류 측정에는 전류 증폭기(KEITHLEY ® model 427)를 사용하였다. 펄스 발생기 및 전력 증폭기(AVTECH AVR-3-PS-P-UHF 및 AV-112AH-PS)를 사용하여 판별기 그리드에 20 Hz 램프 전압을 인가하였다. LabVIEW (NATIONAL INSTRUMENTS ®) 프로그램에 의해 이 실험을 제어하였다. 5000 I-V 특성을 평균함으로써 노이즈를 감소시켰고 "매끈한(smooth)" IED를 얻었다. RFEA는 분석기에서의 이온 중성자간(ion-neutral) 충돌을 최소화하기 위해 210 l/s 터보 펌프에 의해 차동적으로 펌핑되었다. 분석기의 압력은 방전 튜브 내의 압력보다 두 자릿수가 더 작게(two orders of magnitude lower) 추산되어 비충돌 이온 흐름을 초래하였다. RFEA의 에너지 분해능은 식: ~ DE/E= 2%을 사용하여 추산되었다.
도 9는 상이한 압력에 대해, 랭뮤어 프로브(Langmuir probe, LP)로 측정한, 방전 튜브 축을 따르는 수직 위치의 함수인 이온 및 전자 밀도를 나타낸다. 전하 밀도는 코일의 중심 주위에서 최대에 도달하고 압력에 따라 증가한다. 최대 이온 밀도 1.5x1012/cm3는 50 mTorr의 압력에서 도달된다. 전술한 바와 같이, 프로브 시스에서의 이온 중성자간 충돌은 양이온 밀도가 보다 고압에서 더욱더 과소평가되게 할 것이고; 따라서 양이온 밀도는 실질적으로 50 mTorr에서 기록된 값을 초과할 수 있다. 전자 및 이온 밀도는 DQFUR 3.7, 및 14 mTorr에 대해 거의 같다. 28 mTorr에 대해, 특히 50 mTorr의 중심 근처에서, 전자 밀도는 대응하는 이온 밀도보다 낮았다. 이것은 프로브가 거의 VP로 바이어싱되어, 대량의 전자 전류가 플라즈마 밖으로 이동되었다는 사실에 기인하였다. 명백히, 플라즈마와 접촉하는 경계 전극의 접지된 표면은 이 고밀도의 전자 손실을 보상하기에 충분히 높지 않았다. 랭뮤어 프로브는 VP에서 이 부과된 시프트(imposed shift)를 감지하고 이를 보정하지만, 보정 VP가 관찰되기 전에 프로브에 대한 최대 양의 전압이 도달되는 점까지 이다. z = 170 mm에서 랭뮤어 프로브로 측정한 VP와 Te는 각 대응하는 압력 옆에 괄호 안에 나타냈다. 랭뮤어 프로브의 제거와 함께, RFEA를 z = 170 mm에 위치시켰다. 300 W 전력 및 7 내지 50 mTorr의 cw 플라즈마에 대해 어떠한 바이어스도 인가하지 않고 측정한 IED는, 랭뮤어 프로브로 측정하였을 때, VP와 거의 동등한 에너지의 단일 피크이었다.
에칭 생성물의 시간 분해된 검출을 위한 광 방출 분광법( optical emission spectroscopy): 고에너지 이온 선속 펄스 중에 표면으로부터 화학적으로 스퍼터링된 에칭 생성물의 시간 의존성(time-dependence)을 모니터하기 위해 광 방출 분광법을 사용할 수 있다. 염소를 사용하는 Si ALET의 경우, Cl2 플라즈마에서 펄스형 레이저 유도 흡착이 발견되었기 때문에, Si, SiCl 및 SiCl2로부터 방출이 관찰될 것으로 기대한다. (Si 및 SiBr 방출도 HBr 플라즈마에서 발견되었다). Ga와 GaCl로부터의 강한 방출이 예상된다. N2가 GaN 에칭의 일차 생성물이면, N2 광 방출을 통해 플라즈마에서 쉽게 검출될 수 있다. 레이저 주파수와 SiCl 및 SiBr의 여기 상태 사이의 공진에 의해 여기된 레이저 유도 형광(laser-induced fluorescence)에 더해, 모든 이 종들로부터의 방출은 에칭 생성물의 전자 충격에 의해 여기되고다(전자 충격 해리(electron-impact dissociation) 후, 일차 또는 이차), 기판 표면에 가까운 영역에서 관찰될 수 있다. 예컨대, SiCl로부터의 방출은 순간 Cl 커버리지의 함수인 화학적 스퍼터링 수율은 물론, 이온 펄스당 제거된 물질의 총량에 대한 척도를 제공한다. 이 측정은 에칭 레이트를 실시간으로 제어하는 데 사용될 수 있다(예컨대, 이온 펄스 지속기간을 조정하여 일정한 에칭 레이트를 얻을 수 있다). 방출 광량 측정법(optical emission actinometry)은 앞서 몇몇 ICP 시스템에서 설명한 바와 같이, 절대 Cl 농도를 측정하기 위한 것일 수 있다.
현장 레이저 유도 열 탈착( in - situ laser - induced thermal desorption , LITD): 선택한 실험에서는, 레이저 유도 열 탈착 방법을 사용해서 C1, Br 및 그외 표면 종의 순간 커버리지(instantaneous coverage)를 모니터할 수 있다. 이 방법은 기판이 도 10에 나타낸 바와 같이 플라즈마로 에칭될 때에, 10 ns(레이저 펄스 폭)의 시간 분해능으로 1%의 단층 커버리지(monolayer coverage)를 검출할 수 있다. 각각의 레이저는 초당 최대 80 내지 5000 펄스로 진동하고, 이용 가능한 레이저는 표면을 신속히 가열하여, 플라즈마 내에 형성된 규소-할라이드 화합물(Cl 또는 Br) 층의 통상 절반이 열 탈착된다. 이에 따라, 표면은 단계 단계뿐만 아니라 화학 흡착 단계 중에 시간의 함수로서 검사될 수 있다.
현장 XPS 및 현장 AFM / STM 표면 거칠기 측정: 플라즈마 노출 이후에, 샘플은 진공하에서 초고진공 챔버로 전달되어 XPS에 의해 분석된다. 각도 분해 측정(angle-resolved measurement)을 수행하여 Cl 및 Br 등의 반응물의 침투 깊이를 측정하고, Si-단일, 이할로젠화물 및 삼할로젠화물, 및 "≡Si." 일부, 즉 3개의 결합과 하나의 불포화 결합을 가진 Si의 깊이 프로파일을 취득할 수 있다. 마스크 처리한 샘플에 대하여, 전자 새도잉(electron shadowing)을 사용하여 스침 각 이온 충격에 노출되는 측벽을 특징지을 수 있다. 이들 방법은 Cl2 및 HBr 플라즈마에서의 Si 에칭 이후에, 표면을 특징짓기 위한 시스템과 함께 사용되어 왔다. 측벽의 현장 특징화(in-situ characterization)는 특히 GaN의 경우에 중요하다. 이러한 재료에 대하여, XPS는 ALET 프로세스 파라미터의 함수로서 표면 화학양론(surface stoichiometry)의 변화에 관한 많은 정보를 제공한다. 현장 AFM-STM 기구에 의하면, 대기에 노출시키지 않고 처리된 표면에 대하여 원자 분해능 측정을 할 수 있다. 신속한 ALET는 원자층 정밀도를 제공하기 때문에, 실험 결과를 왜곡시킬 수 있는 대기 오염물에 의한 서브 단층 커버리지라도 피하는 것이 중요하다. 이들 측정 결과는 ALET 주기를 반복한 이후에 표면 거칠기를 최소화하는 프로세스 파라미터를 식별하는 데에 도움을 주어, 주기당 하나의 단층 이하까지의 정밀도로 에칭하게 될 것이다.
경계 전극에서의 연속 DC 바이어스 효과: 도 11은 DC 바이어스의 상이한 값에 대한 14mTorr, 300W 및 cw-Ar 플라즈마에 대한 IED를 경계 전극에 연속으로 인가한다. 랭뮤어 프로브에 의해 측정된 각 DC 바이어스 전압에 대한 RFEA의 위치에서의 VP의 값을 도 11에 수직 점선으로 나타낸다. 측정한 Vp 값은 IED의 피크 에너지와 양호하게 정합된다. DC 바이어스의 양의 값에 대하여, Vp가 상승하고, IED를 더 높은 에너지 상태가 되도록 한다. 음의 DC 바이어스에 대하여, Vp에서 초기에 작은 강하가 있지만, 인가된 바이어스가 더 음으로 됨에 따라 포화 상태로 된다. DC 바이어스가 없는 측정과 비교해 보면, IED의 피크는 4, 8 및 12V의 인가된 DC 바이어스에 대하여 3, 7 및 11 eV만큼 시프트된다. 인가된 바이어스와 피크 이온 에너지 사이의 1V 차이는 아마도 Vp의 약간의 변화도에 기인한 것일 수 있다. 음의 DC 바이어스가 인가되면, 피크 이온 에너지의 시프트는 바이어스가 없을 때보다 4V 더 낮은 값에서 포화한다. 경계 전극에서의 DC 바이어스의 인가에 의한 Vp의 시프트를 용이하게 이해할 수 있을 것이다. 양의 바이어스는 Vp를 상승시키는 플라즈마로부터 전자를 유출함으로써, 가장 높은 에너지의 거의 모든 전자가 플라즈마 내에 구속된 상태를 유지한다. 작은 음의 바이어스(몇 개의 Te보다 작은)의 인가에 의해, Vp는 경계 전극으로의 전자 흐름이 차단됨에 따라 양의 값이 작아지게 된다. 경계 전극에서의 더 큰 음의 바이어스에 의해 이온 흐름에 무시할만한 정도의 변화가 생기기 때문에, Vp에는 거의 영향을 미치지 않는다. 이온 흐름은 충분히 큰 음의 바이어스에서 포화하고, 플라즈마 밀도 또는 Te의 작은 변화도 없다고 가정한다.
펄스 플라즈마 : 실질적인 단일 에너지 이온 충격을 얻기 위해, 시스에 진입하는 이온의 에너지 확산을 감소시키고, 일정한 시스 전위를 유지하는 것이 바람직할 수 있다. 플라즈마 전위의 RF 진동은 패러데이 차폐물에 의해 제거되기 때문에, 시스에 진입하는 이온의 에너지 확산은 Te에 비례한다. 따라서, Te를 낮춤으로써 에너지 확산을 감소시켜야 한다. Te는 펄스 플라즈마 등의 플라즈마 전력을 변조시킴으로써 낮출 수 있다. 이러한 조건하에서 DC 바이어스가 경계 전극에 인가되면, 이온은 좁은 에너지 확산을 원하는 에너지까지 가속될 수 있다. 도 12는 상이한 압력에 대한 전자 온도의 시간 분해된 랭뮤어 프로브 측정을 나타낸다. 소정의 압력에 대하여, Te는 플라즈마가 온 상태, 오버슈트 상태, 및 의사 안정 상태 값에 도달한 이후에 급격히 증가한다. 안정 상태 Te는 예상하는 대로 압력을 증가시킴에 따라 감소한다. 플라즈마가 오프 상태로 된 후에, Te는 애프터글로 상태에서는 더 길어지는 점진적으로 느려지는 속도로 감소한다. 또한, Te는 더 낮은 압력에서는 더 빨리 감쇠한다. Ar 플라즈마에서, 벽으로의 확산은 가장 낮은 여기 상태 이하의 에너지(11.55 eV의 3P2 준안정 상태)를 가진 전자에 대하여 애프터글로 중의 주요한 냉각 메커니즘이다.
경계 전극에서의 연속 DC 바이어스: 도 13은 DC 바이어스가 경계 전극에 연속으로 인가되었을 때에, 펄스 플라즈마 조건하에서의 IED를 나타낸다. DC 바이어스의 각각의 값에 대하여, IED는 2개의 피크를 갖는다. 높은 에너지에서의 더 넓은 피크는 플라즈마가 온(ON) 상태인 경우에 기판에 충돌하는 이온에 대응한다. 이들 피크의 형태와 에너지는 도 11에 나타낸 cw 플라즈마에서 관찰된 것들과 거의 동일하다. 낮은 에너지에서의 더 좁은 피크는 애프터글로 중의 기판에 충돌하는 이온에 대응한다. 이들 피크의 평균 에너지는 인가된 DC 바이어스에 대응한다. 애프터글로에서, Vp는 DC 바이어스가 없는 경우의 매우 낮은 값에 도달한다. 양의 DC 바이어스가 인가되면, 플라즈마 전위는 DC 바이어스와 거의 동일하게 된다. IED의 폭이 애프터글로에서보다 훨씬 작은 이유는 전자 에너지(Te)의 급격한 퀀치(quench) 때문이다. 유사한 결과가 펄스 용량 결합 플라즈마의 애프터글로에 DC 바이어스를 인가함으로써 실질적으로 단일 에너지 IED를 보여주고 있다.
경계 전극에서의 동기 펄스형 DC 바이어스: 상기 방식은 좁고 조정 가능한(tunable) IED를 생성하지만, 주기의 플라즈마 온 부분 중에 시스에 진입하는 이온의 넓고 잘 제어되지 않은 부분이 남는다. 플라즈마 온 주기 동안 DC 바이어스 전압을 오프로 함으로써 대부분의 이온 지원 표면 반응(ion-assisted suface reaction)에 대한 임계값 이하의 이들 이온의 에너지를 감소시킬 수 있다. 이하에 애프터글로 중의 특정된 시간에 경계 전극에 인가된 동기, 펄스형 양의 DC 바이어스를 가진 펄스 플라즈마 동작으로부터의 결과를 나타낸다.
압력의 효과: 상이한 값의 압력에 대해 시간 간격 Δtb=49-95 ms 동안 애프터글로에서 +24.4 VDC의 동기 바이어스(synchronous bias)를 인가함으로써 측정된 IED가 도 14에 도시되어 있다. ∼22-23 V에서의 날카로운 피크(sharp peak)는 DC 바이어스에 대응하는 한편, 사이클의 플라즈마 ON 부분으로부터는 낮은 에너지에서의 더 넓은(broader peak)가 발생한다. 이 더 넓은 피크는, 도 9에서와 같이 Te에서의 동반 증가 및 그에 따라 Vp에 의해, 압력이 증가함에 따라 낮은 에너지로 시프트한다. 도 14에 도시된 2-피크의 IED의 가장 중요한 특징은 넓은 피크와 그에 대응하는 날카로운 피크 간의 간격이 DC 바이어스 및 리액터 압력을 변화시킴으로써 변화될 수 있다는 것이다. 이러한 제어는 기저 기판에 대하여 필름을 에칭함에 있어서의 매운 높은 선택성을 달성하기 위해서는 중요하다. 압력은 낮은 에너지 피크가 에칭을 발생하지 않도록 선택될 수 있다. DC 바이어스는 높은 에너지 피크가 필름을 에칭하는 임계값과 기판을 에칭하는 임계값 사이에 있도록 선택될 수 있다. 각각의 피크 하에서의 이온의 일부분은 후술되는 바와 같이 애프터글로에서 DC 바이어스가 인가되는 시간 길이 및/또는 펄스 플라즈마의 듀티 사이클을 변화시킴으로써 최적화될 수 있다.
애프터글로에서의 바이어스 타이밍의 효과: 애프터글로에서의 IED 또한 상이한 개시 시각(tb) 및 시간 간격(Δtb) 동안 경계 전극에 동기 DC 바이어스(+24.4 V)를 인가함으로써 측정된다. 펄스 플라즈마는 10 kHz 및 20% 듀티 사이클의 120 W 평균 전력, 14 mTorr, 및 40 sccm Ar 유량으로 발생된다. 이른 애프터글로(early afterglow) 및 늦은 애프터글로(late afterglow)에서 DC 바이어스가 인가된 IED는 각각 도 15 (a) 및 (b)에 도시되어 있다. 도 15 (a)에서, 바이어싱은 애프터글로에서 시각을 점차적으로 지체시켜서 개시되고, 펄스에서는 60 ms, 또는 애프터글로에서는 40 ms로 종료하며, 그러므로 Δtb는 18 ms에서 38 ms로 변화된다. 도 14에서와 같이, 높은 쪽의 에너지 피크는 인가된 바이어스에 대응하는 반면, 낮은 쪽의 에너지 피크는 바이어스 없이 Vp에 대응한다. tb=22 ms에서 바이어싱이 개시될 때, 플라즈마 턴 오프 후의 2 ms 시간 Te 만이 도 12에서와 같이 여전히 하이 상태로 되어, 각각의 높은 에너지 피크의 넓은 폭을 발생한다. tb가 애프터글로 내로 추가로 지연됨에 따라, Te는 감소되고, 따라서 IED의 높은 쪽의 에너지 피크의 폭을 만든다. 도 15 (b)에서, 바이어싱은 Te가 도 12에서와 같이 시간에 따라 거의 변화하지 않을 때 애프터글로 내로 깊게 개시된다. 따라서, IED의 폭은 바이어싱 개시 시각 tb에 의해 거의 영향을 받지 않는다. 도 15 (a) 및 (b)에서, 수집된 이온 전류는 Δtb가 증가함에 따라 더 커지게 된다.
도 16에서는, 50 ㎲ 또는 15 ㎲의 일정한 Δtb를 유지하면서, 바이어싱 개시 시각 tb가 변경된다. 펄스 플라즈마 내로의 평균 전력은 120 W이다. 바이어싱 간격이 Te 지연 시간 ∼10 ㎲에 비해 긴 50 ㎲일 때에, 바이어싱 개시 시각은 도 16 (a)에 나타낸 바와 같이 이온 에너지 분포에 거의 영향을 준지 않는다. 그 이유는 이들 바이어스 간격을 초과하는 평균 Te가 길고 대략적으로 동일하기 때문이다. 그러나, Δtb가 짧아서 15 ㎲일 때에는, 이른 애프터글로에서의 바이어싱 개시 시각(tb = 20 ㎲)은 도 16b에 도시된 바와 같은 넓은 IED 피크를 발생한다. tb가 애프터글로에서 늦은 시각으로 시프트될 때에, IED의 폭은 점차 축소된다. 역시, IED의 폭은 대응하는 바이어싱 간격 동안 Te와 상관된다.
도 17에 도시된 바와 같이 일정한 Δtb = 50 ㎲를 유지하면서 플라즈마 전력 변조 주파수(5, 7.5 및 10 kHz)를 변화시켜서 추가의 실험을 행하였다. 펄스 플라즈마가 20% 듀티 사이클로 14 mTorr Ar 기압 및 120 W의 평균 전력에서 발생되었다. 듀티 사이클을 동일하게 유지하면서 변조 주파수를 감소함에 따라, 액티브 글로(active glow) 및 애프터글로 양자의 지속기간이 증가된다. 이 경우, tb는 5 kHz, 7.5 kHz 및 10 kHz의 변조 주파수에 대해 각각 145 ㎲, 75 ㎲ 및 45 ㎲이었다. 3개의 변조 주파수 전부에 대해, 액티브 글로우의 지속기간이 Te에 대한 지연 시간 및 그에 따라 Vp에 비해 길기 때문에 낮은 에너지 피크는 거의 동일하다. 한편, 플라즈마가 낮은 쪽의 변조 주파수에서는 더 긴 주기로 쇠퇴하여 더 낮은 Te를 발생하기 때문에 변조 주파수가 감소함에 따라 높은 쪽의 에너지 피크가 더 좁아지고 더 작아지게 된다. 변조 주파수가 감소함에 따라 피크의 FWHM이 좁아지는 것은 도 17b의 정규화된 곡선에 의해 더욱 명확하게 도시되어 있다.
2개의 상이한 듀티 사이클(20 및 50%)에서의 14 mTorr Ar 펄스 플라즈마에 대한 IED가 도 18에 도시되어 있다. +24.4 V의 동기 DC 바이어스는 애프터글로에서 70 내지 98 ㎲로 인가된다. 평균 전력은 각각 10 kHz 변조 주파수에서 20% 및 50% 듀티 사이클에 대해 120 W 및 280 W이었다. 피크 아래의 영역은 긴 쪽의 듀티 사이클의 경우가 더 크다. 높은 쪽의 에너지 피크는 플라즈마가 더 긴 시간 동안 쇠퇴하여 더 낮은 Te 및 Vp를 발생하므로 20% 듀티 사이클의 경우에 더 작은 폭을 갖는다. 20% 듀티 사이클 경우와 달리, Te는 도 12에 도시된 바와 같이 50% 듀티 사이클의 경우의 바이어스 인가 동안 여전히 현저하게 높으며, 그 결과 잔여 Vp가 20% 듀티 사이클 경우의 1.9 V에 비하여 3.7 V 정도로 높다. 잔여 Vp에서의 이러한 차이는 도 18에서의 각각의 IED의 상이한 폭을 설명한다. IED의 피크 아래의 영역은 바이어싱 간격 동안 수집된 이온 전하에 비례한다. 이 전하는 이온의 봄 플럭스(Bohm flux) J0 = e ns uB(여기서, ns는 시스 에지(sheath edge)에서의 이온 밀도이고, uB는 봄 속도이다) 및 공지의 바이어싱 시간을 이용하여 추정된다(estimated). 바이어스의 지속기간에 걸쳐 평균을 구한 전자 온도(electron temperature) 및 측정된 이온 밀도 nb(nb=0.6nb)를 이용하면, 추정된 이온 전하가 실제로 도 15 내지 도 18의 각각의 피크 아래의 영역에 비례하여 발견된다.
IED 의 에너지 확산: 인가된 DC 바이어스에 대응하는 피크의 전폭반치(full width at half maximum, FWHM)에서의 전체 폭은 도 14에서의 7 mTorr에서의 1.7 eV 내지 50 mTorr에서의 2.5 eV의 압력으로 증가한다. 이들 피크는 RFEA의 에너지 분해능보다 여전히 넓지만 바이어스가 없는 액티브 글로로부터의 이온의 피크보다 훨씬 촘촘(tight)하다. 후자는 E = 25 V에 대해 0.5 eV의 FWHM 또는 DE/E ∼2%가 되는 것으로 추정된다. 로컬 압력이 ∼1 cm의 분석기 길이보다 훨씬 큰 이용된 최고 플라즈마 압력에 대응하는 ∼15 cm의 이온 평균 자유 행정(ion mean free path)을 발생하는 방전 압력보다 약 두 자릿수(two order) 더 낮기 때문에, 차동적으로 펌핑된 RFEA에서의 충돌은 무시될 수 있다. 일부 이온 중성자간 충돌이 시스에서 발생한다. 이들은 더 높은 압력에서의 피크의 좌측으로의 IED의 "꼬리(tail)"에 기여하지만, 애프터글로에서의 1.7 내지 2.5 eV의 관측된 폭의 주요 원인인 것으로는 예상되지 않는다. 예컨대, 14 mTorr에서의 이온 평균 자유 행정은 약 λi=0.2 cm이며, 이것은 칠드의 법칙(Child law)으로부터 추정된 시스 폭 ∼250 ㎛보다 10배(a factor of 10) 정도 더 크다. 이것은 ∼10%의 이온 충돌 확률 Pc = 1 - exp(-s/λi)를 발생한다. 플라즈마 밀도가 온도와 함께 강하게 증가하여, 시스 폭이 감소하게 하고, 평균 자유 행정의 감소를 압력으로 상쇄한다는 것에 유의하기 바란다. 프리시스(pre-sheath)에서의 이온 중성자간 충돌은 IED의 확산에 크게 기여할 수 있다. 이온 충돌 효과에 따라, IED의 FWHM는 수 Te가 될 수 있다.

Claims (23)

  1. 챔버 주위에 배치된 나선형 코일 전극; 및
    튜브에 배치되고. 프로세스 가스 공급장치와 유체가 소통하도록 연결되는 유입구
    를 포함하는 펄스형 플라즈마 소스; 및
    상기 펄스형 플라즈마 소스와 유체가 소통하도록 연결되고,
    펄스형 전극인 기판 지지체; 및
    상기 기판 지지체의 주위에 동심으로 배치된 경계 전극
    을 포함하는 반응 챔버
    를 포함하는 시스템.
  2. 제1항에 있어서,
    상기 나선형 코일 전극은 펄스 발생기에 연결되고,
    상기 펄스 발생기는,
    하나 이상의 무선 주파수 함수 발생기; 및
    임피던스 정합 네트워크
    를 포함하는, 시스템.
  3. 제1항에 있어서,
    상기 챔버의 상부에 인접하여 배치되고, 적어도 부분적으로 상기 챔버 내로 연장되는 상대 전극을 더 포함하는 시스템.
  4. 제3항에 있어서,
    상기 상대 전극은 상기 기판 지지체 맞은편에 수직으로 배치되는, 시스템.
  5. 제1항에 있어서,
    상기 유입구는 산소, 산소화 가스, 비활성 가스, 할로겐, 할로겐화 가스, 질소, 수소, 산소, 및 이들의 조합으로 구성된 그룹에서 선택된 가스 소스에 연결되는, 시스템.
  6. 제1항에 있어서,
    상기 경계 전극은 상기 반응 챔버 내에 상기 기판 지지체에 인접하여 수평으로 배치되는, 시스템.
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
KR1020117024320A 2009-12-15 2010-12-14 펄스형 플라즈마를 사용한 원자층 에칭 KR101392838B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US28657209P 2009-12-15 2009-12-15
US61/286,572 2009-12-15
PCT/US2010/060251 WO2011081921A2 (en) 2009-12-15 2010-12-14 Atomic layer etching with pulsed plasmas

Publications (2)

Publication Number Publication Date
KR20120024544A KR20120024544A (ko) 2012-03-14
KR101392838B1 true KR101392838B1 (ko) 2014-05-15

Family

ID=44121419

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117024320A KR101392838B1 (ko) 2009-12-15 2010-12-14 펄스형 플라즈마를 사용한 원자층 에칭

Country Status (6)

Country Link
US (2) US20110139748A1 (ko)
JP (2) JP5826746B2 (ko)
KR (1) KR101392838B1 (ko)
CN (1) CN102934208B (ko)
TW (1) TWI567819B (ko)
WO (1) WO2011081921A2 (ko)

Families Citing this family (515)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8642974B2 (en) * 2009-12-30 2014-02-04 Fei Company Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808561B2 (en) * 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130119018A1 (en) * 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9390893B2 (en) * 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
FR3000600B1 (fr) * 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN106842001B (zh) * 2013-04-24 2019-09-24 泰科英赛科技有限公司 采用聚焦离子束的电路跟踪
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
FR3017241B1 (fr) * 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
JP6396699B2 (ja) * 2014-02-24 2018-09-26 東京エレクトロン株式会社 エッチング方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104979175B (zh) * 2014-04-03 2018-12-18 中芯国际集成电路制造(上海)有限公司 栅极及晶体管的形成方法
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法
KR101745686B1 (ko) * 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭을 위한 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI735912B (zh) * 2014-08-22 2021-08-11 美商蘭姆研究公司 在一狀態期間中的次脈動用之電漿系統、電漿工具、射頻產生器、控制器、及方法
CN105448635B (zh) * 2014-08-28 2018-01-09 北京北方华创微电子装备有限公司 原子层刻蚀装置及采用其的原子层刻蚀方法
US9362131B2 (en) * 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9609730B2 (en) * 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
WO2016094353A1 (en) 2014-12-08 2016-06-16 University Of Houston System Systems and methods for rapidly fabricating nanopatterns in a parallel fashion over large areas
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
JP6504827B2 (ja) * 2015-01-16 2019-04-24 東京エレクトロン株式会社 エッチング方法
US9396956B1 (en) * 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
WO2016123090A1 (en) 2015-01-26 2016-08-04 Tokyo Electron Limited Method and system for high precision etching of substrates
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6356615B2 (ja) * 2015-02-06 2018-07-11 東芝メモリ株式会社 半導体製造装置および半導体製造方法
WO2016130795A1 (en) * 2015-02-12 2016-08-18 Massachusetts Institute Of Technology Methods and apparatus for variable selectivity atomic layer etching
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9881807B2 (en) * 2015-03-30 2018-01-30 Tokyo Electron Limited Method for atomic layer etching
JP6516542B2 (ja) * 2015-04-20 2019-05-22 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TWI750120B (zh) * 2015-06-05 2021-12-21 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106328472B (zh) * 2015-07-02 2018-11-06 北京北方华创微电子装备有限公司 等离子体产生装置和半导体加工设备
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10128082B2 (en) 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9706634B2 (en) 2015-08-07 2017-07-11 Varian Semiconductor Equipment Associates, Inc Apparatus and techniques to treat substrates using directional plasma and reactive gas
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10096487B2 (en) * 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
GB201515622D0 (en) * 2015-09-03 2015-10-21 Oxford Instr Nanotechnology Tools Ltd Cyclical plasma etching
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
JP6670692B2 (ja) * 2015-09-29 2020-03-25 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) * 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
JP6601257B2 (ja) * 2016-02-19 2019-11-06 東京エレクトロン株式会社 基板処理方法
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10256108B2 (en) * 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US20170287791A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
JP6839206B2 (ja) * 2016-04-12 2021-03-03 ピコサン オーワイPicosun Oy 金属ウィスカの軽減のためのaldによる被覆
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107464764B (zh) * 2016-06-06 2020-01-03 北京北方华创微电子装备有限公司 一种承载装置及预清洗腔室
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10453653B2 (en) * 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10141161B2 (en) 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102520779B1 (ko) 2016-11-18 2023-04-11 도쿄엘렉트론가부시키가이샤 제조 공정에서 입자 유도 아크 검출을 위한 조성 발광 분광법
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
GB201620680D0 (en) * 2016-12-05 2017-01-18 Spts Technologies Ltd Method of smoothing a surface
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2018111333A1 (en) 2016-12-14 2018-06-21 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10566212B2 (en) * 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR20190121864A (ko) 2017-03-17 2019-10-28 도쿄엘렉트론가부시키가이샤 에칭 메트릭 향상을 위한 표면 개질 제어
JP6820775B2 (ja) 2017-03-17 2021-01-27 株式会社日立ハイテク エッチング方法及びプラズマ処理装置
US20180277340A1 (en) * 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons
US10544505B2 (en) * 2017-03-24 2020-01-28 Applied Materials, Inc. Deposition or treatment of diamond-like carbon in a plasma reactor
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
EP3648554B1 (en) * 2017-06-27 2021-06-02 Canon Anelva Corporation Plasma processing device
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
CN107527958A (zh) * 2017-08-25 2017-12-29 苏州焜原光电有限公司 一种超晶格红外探测器表面钝化方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10763083B2 (en) * 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
JP6985097B2 (ja) * 2017-10-17 2021-12-22 岩谷産業株式会社 混合ガスおよびそれを用いた溶射皮膜の形成方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP7002921B2 (ja) * 2017-11-10 2022-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3524204A1 (en) * 2018-02-07 2019-08-14 Albert-Ludwigs-Universität Freiburg Plasma jet device
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP7061922B2 (ja) * 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US20200058469A1 (en) * 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN112534544A (zh) * 2018-08-30 2021-03-19 东京毅力科创株式会社 控制等离子体加工的系统和方法
US11257685B2 (en) * 2018-09-05 2022-02-22 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
JP7481823B2 (ja) * 2018-11-05 2024-05-13 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
DE102018221188A1 (de) 2018-12-07 2020-06-10 Carl Zeiss Smt Gmbh Verfahren zum in situ Schutz einer Aluminiumschicht und optische Anordnung für den VUV-Wellenlängenbereich
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020121540A1 (ja) 2019-02-04 2020-06-18 株式会社日立ハイテク プラズマ処理方法及びプラズマ処理装置
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20200321186A1 (en) * 2019-04-02 2020-10-08 Applied Materials, Inc. Method and apparatus for angled etching
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210151229A (ko) * 2019-04-29 2021-12-13 램 리써치 코포레이션 서브트랙티브 (subtractive) 금속 에칭을 위한 원자 층 에칭
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
WO2020100357A1 (ja) * 2019-08-05 2020-05-22 株式会社日立ハイテク プラズマ処理装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
JP7262375B2 (ja) * 2019-11-26 2023-04-21 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11158516B2 (en) * 2020-02-07 2021-10-26 Tokyo Electron Limited Plasma processing methods using low frequency bias pulses
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN111370308B (zh) * 2020-02-18 2023-03-21 中国科学院微电子研究所 一种刻蚀方法及系统、刻蚀控制装置、电子器件及设备
JP7382848B2 (ja) * 2020-02-20 2023-11-17 東京エレクトロン株式会社 基板処理方法および基板処理装置
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
US11651970B2 (en) 2020-05-19 2023-05-16 Tokyo Electron Limited Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
US20210374605A1 (en) * 2020-05-28 2021-12-02 Samsung Electronics Company, Ltd. System and Method for Federated Learning with Local Differential Privacy
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021252839A1 (en) * 2020-06-12 2021-12-16 Lam Research Corporation Pulsing remote plasma for ion damage reduction and etch uniformity improvement
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US20210408235A1 (en) * 2020-06-25 2021-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with silicide gate fill structure
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11864472B2 (en) 2020-07-10 2024-01-02 California Institute Of Technology Methods and systems for atomic layer etching and atomic layer deposition
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN117296136A (zh) * 2021-05-19 2023-12-26 东京毅力科创株式会社 等离子体处理装置和rf系统
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114078685B (zh) * 2021-11-17 2024-05-17 北京北方华创微电子装备有限公司 半导体工艺设备
US20230187214A1 (en) * 2021-12-14 2023-06-15 Tokyo Electron Limited Remote source pulsing with advanced pulse control
WO2023132889A1 (en) * 2022-01-04 2023-07-13 Applied Materials, Inc. Electrode tuning, depositing, and etching methods
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US20230374670A1 (en) * 2022-05-17 2023-11-23 Tokyo Electron Limited Etch process for oxide of alkaline earth metal
US11869747B1 (en) * 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03263827A (ja) * 1990-03-14 1991-11-25 Yasuhiro Horiike デジタルエツチング装置
JPH04279044A (ja) * 1991-01-09 1992-10-05 Sumitomo Metal Ind Ltd 試料保持装置
JP2002289584A (ja) * 2001-03-26 2002-10-04 Ebara Corp 表面処理方法
JP2006049817A (ja) * 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2792558B2 (ja) * 1987-12-07 1998-09-03 株式会社日立製作所 表面処理装置および表面処理方法
JP3217844B2 (ja) * 1992-03-27 2001-10-15 理化学研究所 エッチングダメージ防止方法
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
JPH11224796A (ja) * 1998-02-05 1999-08-17 Matsushita Electron Corp プラズマ処理装置及びプラズマ処理方法
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6310577B1 (en) * 1999-08-24 2001-10-30 Bethel Material Research Plasma processing system with a new inductive antenna and hybrid coupling of electronagnetic power
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
JP3437961B2 (ja) * 2000-05-26 2003-08-18 東京エレクトロン株式会社 基板のプラズマ処理中に基板のバイアスを監視するための改善された装置および方法
JP2001358129A (ja) * 2000-06-16 2001-12-26 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP4239445B2 (ja) 2001-07-31 2009-03-18 パナソニック株式会社 プラズマ処理方法
JP4135541B2 (ja) * 2003-03-26 2008-08-20 ソニー株式会社 プラズマ表面処理方法
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03263827A (ja) * 1990-03-14 1991-11-25 Yasuhiro Horiike デジタルエツチング装置
JPH04279044A (ja) * 1991-01-09 1992-10-05 Sumitomo Metal Ind Ltd 試料保持装置
JP2002289584A (ja) * 2001-03-26 2002-10-04 Ebara Corp 表面処理方法
JP2006049817A (ja) * 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法

Also Published As

Publication number Publication date
CN102934208A (zh) 2013-02-13
KR20120024544A (ko) 2012-03-14
JP5826746B2 (ja) 2015-12-02
JP2012529777A (ja) 2012-11-22
TWI567819B (zh) 2017-01-21
CN102934208B (zh) 2017-02-08
WO2011081921A3 (en) 2013-01-03
US10515782B2 (en) 2019-12-24
US20110139748A1 (en) 2011-06-16
TW201140687A (en) 2011-11-16
JP2014007432A (ja) 2014-01-16
WO2011081921A2 (en) 2011-07-07
US20180226227A1 (en) 2018-08-09
JP5938381B2 (ja) 2016-06-22

Similar Documents

Publication Publication Date Title
KR101392838B1 (ko) 펄스형 플라즈마를 사용한 원자층 에칭
Shin et al. Control of ion energy distributions using a pulsed plasma with synchronous bias on a boundary electrode
JP3386287B2 (ja) プラズマエッチング装置
US6511608B1 (en) Plasma processing method
TWI541893B (zh) Process apparatus and method for plasma etching process
Qin et al. Tailored ion energy distributions at an rf-biased plasma electrode
TWI620227B (zh) Plasma processing device and plasma etching method
US8801951B2 (en) Plasma processing method
Bodart et al. Analysis of pulsed high-density HBr and Cl2 plasmas: Impact of the pulsing parameters on the radical densities
JP2017069542A (ja) プラズマ処理装置およびプラズマ処理方法
JP3319285B2 (ja) プラズマ処理装置及びプラズマ処理方法
WO2003044842A1 (en) Etching method and apparatus
Ono et al. Measurements of the Cl atom concentration in radio‐frequency and microwave plasmas by two‐photon laser‐induced fluorescence: Relation to the etching of Si
Hikosaka et al. Realistic etch yield of fluorocarbon ions in SiO2 etch process
JP4127435B2 (ja) 原子状ラジカル測定方法及び装置
Samukawa et al. Effects of rare gas dilution for control of dissociation, ionization, and radical density in fluorocarbon ultrahigh-frequency plasmas
Goto Radical measurements in plasma processing
Sugai et al. Diagnostics and control of high-density etching plasmas
Liu et al. Time-resolved radial uniformity of pulse-modulated inductively coupled O2/Ar plasmas
JP5846851B2 (ja) プラズマ処理方法
Nakagawa et al. CF and CF2 Radical Densities in 13.56-MHz CHF3/Ar Inductively Coupled Plasma
JP2016076718A (ja) プラズマ処理装置
Nakano et al. Optical diagnostics of a pulsed inductively coupled nitrogen plasma
Liu Control of the Electron Energy Distribution and Plasma Ignition Delay in a Novel Dual Tandem Inductively Coupled Plasma
Zhu Advanced Control of Ion and Electron Energy Distributions and Investigation of in-situ Photo-Assisted Etching

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee