JP2012529777A - パルスプラズマを用いた原子層エッチング - Google Patents

パルスプラズマを用いた原子層エッチング Download PDF

Info

Publication number
JP2012529777A
JP2012529777A JP2012515234A JP2012515234A JP2012529777A JP 2012529777 A JP2012529777 A JP 2012529777A JP 2012515234 A JP2012515234 A JP 2012515234A JP 2012515234 A JP2012515234 A JP 2012515234A JP 2012529777 A JP2012529777 A JP 2012529777A
Authority
JP
Japan
Prior art keywords
plasma
substrate
electrode
gas
pulse
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012515234A
Other languages
English (en)
Other versions
JP5826746B2 (ja
Inventor
ビンセント エム ドネリー
デミトレ ジェイ エコノモウ
Original Assignee
ユニバーシティ オブ ヒューストン システム
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ユニバーシティ オブ ヒューストン システム filed Critical ユニバーシティ オブ ヒューストン システム
Publication of JP2012529777A publication Critical patent/JP2012529777A/ja
Application granted granted Critical
Publication of JP5826746B2 publication Critical patent/JP5826746B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32036AC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32045Circuits specially adapted for controlling the glow discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

高速の原子層エッチング(ALET)を行うシステムおよび方法であり、パルスプラズマ源と、反応チャンバを有している。プラズマ源は、螺旋状コイル電極と、冷却されるファラデーシールドと、管の上部に配置されたカウンタ電極と、ガス注入口とを有し、反応チャンバは基板サポートと境界電極を有する。この方法は、プラズマエッチングチャンバの中にエッチング可能な基板を設置する段階と、基板の表面上に生成層を形成する段階と、プラズマ源をパルス駆動することによって生成層の一部を除去する段階と、その後、生成層を形成する段階と生成層の一部を除去する段階とを繰り返してエッチングされた基板を形成する段階を有する。

Description

関連する出願の相互参照
非適用
連邦支援の研究開発に関する記述
米国政府はこの発明の一括払いライセンスを有しており、米国エネルギ省によって与えられた助成No. DE-PS02-09ER09-01および国立科学財団によって与えられたNo.CBET-0903426の条項によって規定されている妥当な項目に関して、ある限定された状況で特許所有者が他人に使用を許諾する権利を有している。
発明の背景
技術分野
この発明はナノ製造プロセスに関する。さらに詳しくは、この発明は、原子層の精度を有する固体表面をエッチングする新しい循環式プロセスに関する。
背景技術
原子層堆積(ALD)は、金属−酸化物−半導体−電界−効果−トランジスタ(MOSFET)におけるゲート絶縁体としてシリコン酸化物の代わりとしての“高k材料”としても知られている、大きな誘電率材料を成長させる重要な方法となっているナノ製造プロセスである。“デジタルエッチング”としても知られる原子層エッチング(ALET)は、ALDに代わるプロセスとして発展してきた。ALETはまず、塩素ガス(Cl2)吸着と電子ビームエッチングを交互に行うガリウムヒ素(GaAs)エッチングに対して報告された。こうした方法の開発によって、シリコンのALETを行うためにイオン衝撃の可能性が別の研究によって検討されたが、各エッチサイクルに必要な期間は、研究室規模においてさえ許容可能な限界を超えている。
原子層エッチング(ALET)に対する従来のアプローチのサイクル全体は、四つの段階から構成されている。まず、清浄な基板を反応ガスへ曝して基板の上へのガス吸着を容易にする段階を含む化学吸着段階。第2に、余分なCl2ガスを不活性ガス流といっしょにパージして、引き続く段階における気相の反応剤によるエッチングを避ける。第3に、化学的スパッタリングなどの反応段階を、通常は不活性ガスプラズマを介して、吸着されたガスと下側の固体反応物との間で行う。また、このプロセスは自己制御式であること、すなわちイオンは吸着されたガスへ結合した基板原子とのみ反応することが理想的である。塩素化された相が除去されたら、物理的スパッタリングによる基板のさらなるエッチングは起きてはならないか、あるいは十分に抑える必要がある。最後に、反応チャンバの排気によってエッチング生成物が排出される。第1の段階およびエッチングの第3の段階における化学吸着の期間が十分に長い持続時間であれば、エッチングレートはサイクル当たり1原子層に近づく。ここで、原子層の厚みは塩素化された層の厚みであるが、必ずしも基板の1モノレイヤではない。さらに、繰り返されるALETサイクルの間、基板表面が原子的にほぼ滑らかなままであれば、サイクル当たりほぼ基板の1モノレイヤを除去するという理想的な条件を達成することが可能である。
しかし、従来のALETプロセスを用いたほぼ原子的なモノレイヤの基板除去は、非常に長いエッチングサイクルを必要とし、サイクル当たり150秒に近いか、それを越える。また、従来のALETプロセスはさらに制限を有する。まず、ガスのパルス化は、Cl2などの化学吸着ガスはチャンバ壁上への滞留時間が長く、不活性ガスプラズマを点火するまでに長い圧送期間を要するという事実による欠点がある。これによって、非常に薄い薄膜をエッチングするのに要する時間に対してさえ、エッチングレートは非常に遅くなる。第2に、サイクル当たりのエッチングレートは必ずしも一定でなく、制御可能でもない。特に、イオン衝撃によって誘起される荒れによって、飽和層の厚みがサイクル数とともに増大し、各サイクル数とともにエッチングレートが加速される。
ムーアの法則や、半導体の引き続く発展によって、将来の集積回路におけるデバイスは厚みが1原子層で幅が数原子層以下にまで小さくなると予測されている。今日のプラズマエッチングプロセスは、そうした精密なパターンの転写を実現するには粗すぎ、基板の下側層に損傷を与える可能性がある。特に、従来のプラズマエッチング技術は、20nm以下の構造の精密なパターン転写に必要な制御レベルは有しておらず、また今日のパルス化ガスを用いた原子層エッチングは、将来における集積回路の大量の製造を実用化するには遅すぎる。さらに、今日の技術は塩素ガスなどの前駆物質の原材料を過剰に必要とし、このことはより効率的なプロセスを見つけることによるコスト削減の可能性を示している。
したがって、遅いエッチング時間や基板の損傷、分解能の悪さ、非効率的な動作という問題を原子層エッチングが克服し、量子ドット及び/又はワイヤ、自己組織化による薄膜、およびその他の原子層分解能を有する敏感なコンポーネントを組み込んだ将来のナノデバイスをより効率的なコストで製造するためにプラズマエッチングを使用できるようにするような新しい方法が求められている。
発明の概要
この発明の一つの実施の形態によるシステムは、の周囲に配置された螺旋状コイル電極とを有するパルスプラズマ源と、管、管と螺旋状コイル電極との間に配置されていて流体流によって冷却されるファラデーシールドと、管の上部に配置されていて少なくとも部分的に管の中に延びているカウンタ電極と、管の中に配置されていてプロセスガス供給源と流体的に連通しているガス注入口と、基板サポートと境界電極とを有する、パルスプラズマ源と流体的に連通した反応チャンバから成っている。
この発明の一つの実施例による基板をエッチングする方法は、不活性ガスと反応ガスから成る供給ガスをプラズマチャンバの中に導入する段階と、基板をプラズマチャンバの中に設置する段階と、反応剤と供給ガスからのイオンとを含むプラズマを発生する段階と、反応剤で基板表面を充満させて、反応剤の種からなるモノレイヤと基板の第1のモノレイヤ原子からなる生成層を形成する段階と、生成層をイオンに曝すことによってこの生成層を除去する段階から成っている。
この発明の一つの実施の形態による基板を処理する方法は、プラズマのアフターグローからのイオンを、第1の物質で充満された基板表面の方へ導く段階から成っている。そして、ある実施の形態においては、第1の物質と、充満された基板原子のモノレイヤをイオンで除去する段階を有する。
以上では、以下でのこの発明の詳しい説明をよりよく理解できるようにするために、この発明の特徴や技術的な利点をかなり大まかに述べた。この発明の請求項の主題を構成するこの発明の他の特徴や利点については、このあと説明する。
図面の簡単な説明
以下、添付図面を参照しながらこの発明の実施の形態を説明する。
従来の原子層エッチング(ALET)プロセスを示している。 この発明によるALETプロセスの一つの実施形態例を示している。 この発明によるALETシステムの一つの実施形態例を示している。 この発明によるALETプロセスの別の実施形態例を示している。 この発明によるALETプロセスの別の実施形態例を示している。 この発明によるALETシステムの別の実施形態例を示している。 パルスプラズマのアフターグローにおいて、境界電極へ、30 V、50 V、70V、100 VのDC電圧を印加することによって得られたイオンエネルギ分布(IED)の測定結果を示している。 パルスプラズマのアフターグローの期間に、境界電極へ、30 V、50 V、70V、100 VのDC電圧を印加することによって得られるイオンエネルギ分布(IED)のシミュレーション結果を示している。 放電管の軸に沿った垂直位置の関数として、イオンおよび電子の密度を示している。 レーザ誘起による熱脱離のあとの、Si基板上方におけるSiClおよびSiBrレーザ誘起蛍光のシミュレーションを示している。 一定の圧力での、境界電極へ連続的に印加される様々なDCバイアスに対するIEDを示している。 様々な圧力に対してラングミュアプローブで分解測定した電子温度を示している。 境界電極へ連続的にDCバイアスを印加したときの規格化したIEDを示している。 パルスプラズマ条件のもとでの、様々な圧力に対するIEDを示している。 パルスプラズマのアフターグローの期間における様々な時刻で、同期したDCバイアス境界電極パルスを用いたときのIEDを示しており、(a)はアフターグローの初期にバイアスを開始したときのグラフで、(b)はアフターグローの後期にバイアスを開始したときのグラフである。 パルスプラズマのアフターグローの期間における同じ時刻で、同期したDCバイアス境界電極パルスを用いたときのIEDのグラフを示しており、(a)はバイアスの持続時間がΔtb=50ミリ秒のときのグラフで、(b)はバイアスの持続時間がΔtb=15ミリ秒のときのグラフである。 パルスプラズマのアフターグローの期間において、同期したDCバイアス境界電極パルスを用いたときのIEDのグラフを様々なプラズマ変調周波数に対して示しており、(a)はバイアスの持続時間がΔtb=50マイクロ秒に対するグラフで、(b)はFWHMで規格化されたIEDのグラフである。 パルスプラズマのアフターグローの期間において、同期したDCバイアス境界電極パルスを用いたときのIEDのグラフを異なるデューティサイクルに対して示している。
詳細な説明
従来の原子層エッチング
図1に示されているように、これまでの原子層エッチング(ALET)プロセスは四つのステージを有している。すなわち、シリコン(Si)などの基板を塩素(Cl)などの反応ガスに曝す段階と、チャンバから余分な反応ガスをパージする段階と、吸着された反応ガスをプラズマなどの活発なフラックスへ曝す段階と、塩化珪素ラジカル(SiClx)(ここで、xは約0から約4の間の値である。)などのエッチング生成物をチャンバから排出する段階を有している。
第1の段階は化学吸着段階(1)を有する。一般にシリコンからなる清浄な基板が塩素(Cl2)などの反応ガスに曝される。化学吸着は利用可能なすべての表面サイトが占有されてしまうと停止するため、反応ガスの吸着は自己制御式である。反応ガス流は、この化学吸着段階のときのみ駆動される。第2の段階(2)は、基板あるいは基板表面の近傍にある余分な反応ガスを取り除いて、チャンバの壁の上に一時的に堆積することを防止するために必要である。さらに詳しく説明すると、引き続くエッチング段階(3)において壁から放出される気相の反応剤による自然エッチングが、余分な反応ガス(Cl2)をパージすることによって防止される。余分な、あるいは残留する反応ガスによって引き起こされる自然エッチングによって、モノレイヤの精度が得られなくなる。第3の段階(3)においては、基板の表面を、イオンや電子、あるいは高速中性粒子などの活発なフラックスへ、通常、誘導結合プラズマ(ICP)などの不活性ガスプラズマを介して曝して、吸着されたガスとその下の固体との間で反応を起こさせる。この反応あるいは化学的スパッタリングも自己制御式である。なぜなら、イオンは化学吸着されたガスへ結合した基板原子のみと反応するからである。化学吸着層が除去されてしまったら、ほぼ単一の原子層エッチング分解能を維持するために、それ以上の基板エッチングは望ましくない。最後に、エッチング生成物や、存在するかもしれない基板−反応ガスラジカルを取り除くために、チャンバを排気する。
この従来のALETプロセスは、非常に長いエッチングサイクル、すなわち例えばサイクル当たり約150秒(s)を要する。さらに、化学吸着(1)やエッチング(3)の期間を長くすれば、エッチングレートはサイクル当たり1原子層に近づくが、サイクル時間が長くなりプロセス効率が低下するという犠牲を払うことになる。繰り返されるサイクルの間、仮に基板表面が原子的に、あるいはほぼそれに近いほど滑らかであれば、サイクル当たり基板のほぼ1モノレイヤを除去するという理想的な条件を達成することが可能である。しかし、プロセスが長くなりすぎると、原子層の厚みは塩素化された層の厚みになり、必ずしも基板の1モノレイヤではなく、したがって少なくとも部分的にALETの目的に失敗してしまう。
新ALETの概観
この明細書においては、ALETプロセスに対する技術やシステムのいくつかの実施の形態が記載されている。わかりやすくするため、また簡単のために、この明細書は一つあるいは複数の特定の例示的なシステム、および一つあるいは複数の特定の技術に焦点を絞っている。当該分野の技術者にはこれらの実施の形態は単に例にすぎないことが理解できよう。この発明は、ここに記載されている特定の実施の形態によって範囲が制限されるものではない。実際、当該分野の通常の技術者には、この明細書に対して、ここに記載されているもの以外の、その他の実施の形態や修正は明らかであろう。
新ALETプロセスに対するシステムおよび方法は、パルスプラズマおよびパルス電極バイアス電圧をベースにしたプロセスである。ある実施の形態においては、システムはICP源や容量結合プラズマ(CCP)、あるいはヘリコン源などのプラズマ源を有している。ある実施の形態においては、プラズマ源はICP源である。プラズマ源には、連続電流あるいはパルス電流のDCあるいは高周波(RF)電源が設けられている。いくつかの実施の形態においては、基板の近傍に、あるいはプラズマ中に浸された少なくとも一つの電極が設けられている。ある場合には、ICPパルスシステムは高速のRFプラズマパルスを発生するために少なくとも一つの高周波(RF)電源を有している。別の場合には、高速ALETシステムは、チャンバにバイアスを印加したり、チャンバ壁にバイアスを印加したり、プラズマにバイアスを印加したりするために、反応チャンバの中に配置された電極を有する。別の構造においては、プラズマパルスシステムは、パルスを印加するときにICPの安定化を助けるための二次的な、あるいは補助的なプラズマ源を有している。
さらに、新ALETプロセスは、それに限定されるわけではないが、例えばガスパルスなど、従来のALETのレートを制限する段階を省くための可能性がある手段を有している。いくつかの実施の形態においては、新ALETプロセス法は、二つのステージ、すなわち吸着ステージとエッチングステージを有する。いくつかの場合には、プロセスはICP源や反応チャンバの中に配置された電極へのスイッチング可能な電気パルスを利用して、化学吸着およびエッチングを制御している。例えば、電極はプラズマパルスとおおよそ同期させてプラズマ中にバイアス電圧を印加する。プラズマパルスと電極バイアス電圧を、差異をもって制御すれば、基板の上へ当たるイオンエネルギ分布を精密に制御できる。さらに別の場合には、新ALETプロセスは、プロセスガスや、毒性があり腐食性があると考えられる反応ガスの使用量が少ない。このため、従来のエッチング方法と比較して、ガスのコストが減り、安全性が向上し、プロセスに対する環境影響が改善する。
新ALETプロセス
図2には、この発明によるALETプロセス200の実施の形態が示されている。図の上部はALETプロセスを描いており、一方、図の下部はプロセスパラメータを示している。この図に示されているように、ALETプロセスは二つのステージ、すなわち吸着ステージ212と、エッチングステージ252を有する。吸着ステージ212のときには、基板は吸着物に曝され、吸着物が基板の表面上に吸着する。ある場合には、吸着物は反応剤である。ある場合には、吸着物は、不対電子やダングリングボンドを有する解離反応剤原子や、解離反応剤分子からなる。それに限定されるわけではないが、反応剤は、ハロゲンや、フッ素(F)、塩素(Cl)、臭素(Br)、あるいはヨウ素(I)からなっている。ある実施の形態においては、反応剤は、塩素反応ガス(Cl2)から誘導された解離塩素(Cl)原子でもよい。理論的にはそれに限定されるわけではないが、他のハロゲンや、ハロゲン化した種、あるいはその他の反応剤を吸着物に用いてもよいことは熟練技術者には理解できよう。別の実施の形態においては、基板上の吸着物として、完全なあるいは解離していない反応剤を用いてもよい。さらに、“ガス”という用語は、それに限定されるわけではないが、室温において、あるいは標準的な温度および圧力において、固体状態あるいは液体状態の物質から発生する蒸気を含んでいることを熟練技術者は理解できよう。
吸着物は、反応剤を含んだプラズマを発生することによって得られる。ある場合には、不活性ガスが反応剤といっしょにイオン化される。それに限定されるわけではないが、その結果得られるプラズマは、反応剤と、反応ガスイオンと、不活性ガスイオンを含んでいる。ある実施の形態においては、アルゴン(Ar)が不活性ガスとして用いられる。さらに、熟練技術者は任意の希ガス種、あるいは他の不活性ガス種を用いてもよいことがわかるであろう。
ある実施の形態においては、もし反応剤が不活性ガスでイオン化されると、反応ガスの濃度は体積で約0.01%から約20%の間であり、また別の場合には、反応ガスの濃度は約0.01%から約15%の間であり、ある場合には反応ガスの濃度は合わせたガスの体積で約0.01%から約10%の間である。ある実施の形態においては、反応ガスは体積で約1%以下の濃度を有する。それに限定されるわけではないが、発生されるプラズマは主としてAr種と、少量のCl反応ガス種からなっている。
ある実施の形態においては、プラズマ源が反応剤を発生するために使用される。非限定的な例であるが、プラズマ源は、誘導結合プラズマ(ICP)源や、容量結合プラズマ(CCP)源、あるいはヘリコン源を含んでいる。ある実施の形態においては、プラズマ源はICP源である。ある場合には、ICP源は吸着ステージ212のときにRF電力が印加される。
ある実施の形態においては、プラズマ源は吸着ステージ212の全体を通しては電力が印加されない。場合によって、プラズマ源に印加されるRF電力は吸着ステージ212の後半において低減される。非限定的な例であるが、プラズマ源は、図2に示されているように吸着ステージ212の最初の部分でRF電力が印加される。さらに、ステージ212の後半においてはプラズマ源への電力の印加は低減されるか、プラズマ源の電源を切ってアフターグローを発生させる。それとは違って、プラズマ源は吸着ステージ212全体にわたって連続的に駆動されてもよい。
理論的にはそれに限定されるわけではないが、吸着プロセスは以下のように行われる。被膜層のない清浄な表面を有する基板は、不対電子あるいはダングリングボンドを有する。ある場合には、そのとき、基板表面に近いプラズマからの反応剤は、化学吸着を介して表面のダングリングボンドと容易に結合して、生成層を形成する。ある場合には、生成層は反応剤のモノレイヤと、関係する基板原子のモノレイヤからなっている。ある場合には、Cl反応剤は例えばシリコン(Si)基板の上に吸着されて、SiClxからなる生成層を形成する。さらに、ある場合には、生成層は反応種のCl原子のモノレイヤとSi原子のモノレイヤからなっている。吸着は基板表面が反応剤で充満されるまで続く。それに限定されるわけではないが、充満は、不対電子やダングリングボンドなどの基板表面の利用可能なほぼすべてのサイトが占有されるか、あるいは反応剤と結合したときに達成される。熟練技術者には理解できるであろうが、ある場合には、基板表面の一部は反応剤によって覆われない。例えば、それに限定されるわけではないが、基板表面の一部は酸化物層などの被膜層を含んでいる。非限定的な例であるが、被膜層は利用可能なサイトや利用可能な不対電子あるいはダングリングボンドを含んでおらず、反応剤で覆われない。ある場合には、基板表面は生成層において少なくとも部分的に化学吸着された反応剤によって覆われ、少なくとも部分的に被膜層で覆われる。
ある実施の形態においては、吸着ステージ212のときに反応ガスイオン及び/又は不活性ガスイオンをプラズマ中に存在させて、生成層を有する基板表面をイオンに曝す。ある場合には、基板に衝突するイオンのエネルギを選択的に制御して、望ましくないエッチングや物理的あるいは化学的スパッタリングを避けるか、あるいは最小限に抑えている。例えば、ClイオンによってSiをエッチングするのに必要なエネルギは約10-25 eVであり、一方、Arイオンによってスパッタリングを起こすのに必要なエネルギは約30-60 eVである。ある実施の形態においては、吸着ステージ412のときに基板に衝突するイオンのエネルギは約10 eV以下に制御される。イオンエネルギは例えばプラズマ源の静電シールド(例えばファラデーシールド)を行うことによって、及び/又は比較的高い圧力のもとでプロセスを実行することによって制御され、望ましくないエッチングや物理的あるいは化学的スパッタリングが最小限に抑えられる。さらに、Cl反応剤原子はp型の、あるいは中程度にドープされたn型のSiを室温ではエッチングせず、プロセスの温度制御が必要になる。
ある実施の形態においては、吸着ステージ212が完了したあと、エッチングステージ252が実行される。このエッチングステージ252のとき、イオンが基板に衝突して生成層を除去する。ある実施の形態においては、イオンは正に帯電したイオンか、負に帯電したイオンからなっている。ある場合には、正に帯電したイオンを用いて生成層が除去される。熟練技術者にはわかるように、エッチングステージ252のときに基板に衝突するイオンのエネルギは、化学的にアシストされたスパッタリングに対する閾値よりも高く、物理的スパッタリングに対する閾値よりも低いことが好ましい。プラズマと基板との間の電位の差を制御することによって、選択されたエネルギを有するイオンが基板の方へ導かれる。正のイオンを基板の方へ導くには、プラズマの電位を基板の電位に対して高くするか、基板の電位をプラズマに対して低くするか、あるいは両方によって、間の電位差を大きくする。負のイオンを導くには、プラズマの電位を基板の電位に対して低くするか、基板の電位をプラズマに対して高くするか、あるいは両方によって、間の電位差を大きくする。エッチングステージ252のときには、正あるいは負の、DCあるいはRFのバイアスがプラズマ及び/又は基板へ印加される。さらに、図2に示されているように、プラズマ及び/又は基板へ連続的なバイアスが加えられる。それとは違って、図4に示されているように、一連のパルスバイアスを加えてもよい。
ある実施の形態においては、プラズマ源は図4に示されているようにエッチングステージ252のときにRF電力が印加される。ある場合には、プラズマ源にはパルスRF電源が設けられており、RF電源の各パルスは上述したバイアスパルスの間に加えられる。例えば、エッチングステージ252のときに一連のRF電源パルスがプラズマ源へ印加され、一連のDCあるいはRFのバイアスパルスがプラズマ及び/又は基板へ印加される。各バイアスパルスはRF電源パルスの間に加えられる。別の場合には、バイアスパルスは約1msから約20msの間であり、別の場合には各プラズマ源パルスのアフターグロー中への約10msである。
ある場合には、プラズマと基板との間の電位差を選択的に大きくすることによって、ここに記載されているある実施の形態においては塩素化された生成層からなる生成層を除去する。このプロセスにおいて、生成物と結合した基板原子のモノレイヤが基板からいっしょに除去される。さらに、吸着ステージ212とエッチングステージ252を繰り返して、基板原子の層をさらに一度に1層ずつ除去する。
新ALETシステム
ここで図3を参照する。図にはこの発明によるALETシステム300の一つの実施例が示されている。この発明の一つの実施に形態によるALETシステム300はプラズマチャンバ326を有し、プラズマチャンバ326は上壁328と、底壁330と、側壁332を有する。ALETシステム300は、プラズマ源302と、プラズマチャンバ326とプラズマ源302との間に挟まれたシールド304と、基板サポート306と、境界電極308と、カウンタ電極310と、インレット312も有する。プラズマ源302はパルス発生システム314へ連結されている。一方で、基板サポート306はサポートシステム316へ連結されている。サポートシステム316は基板システム306へ連続あるいはパルス状の、DCあるいはRFのバイアスを印加することのできる電源であってもよい。それとは違って、サポートシステム316は単にグラウンド、あるいはグラウンドへ接続されたコンポーネントでもよい。境界電極308は第1の電圧システム318へ連結されている。カウンタ電極310は第2の電圧システム320へ連結されている。
ある実施の形態においては、ALETシステムはさらに、プラズマチャンバ126へ連結されたポンプ124を有している。ALETシステム300のある構造においては、少なくとも一つの冷却用導管336が設けられている。他の構造においては、基板サポート306は差動圧送導管334を有している。別の構造では、プラズマチャンバの上部328はカウンタ電極110とガス注入口112を有する。さらに別の構造では、システム300はさらに、プラズマチャンバ326へ連結された補助プラズマチャンバ350を有している。補助プラズマチャンバ350の近くには補助プラズマ源352が配置されている。
ある実施の形態においては、プラズマ源302や補助プラズマ源352は、それらに限定されるわけではないが、ICP源やCCP源、ヘリコン源および熱源など、当該分野の技術者に周知である任意のタイプのプラズマ源である。ある実施の形態においては、プラズマ源302はICP源302である。ICP源302は、平面状あるいは螺旋状のコイルを有する平面的な、あるいは円筒形のICP源302である。それとは違って、ICP源は他の形状を有していてもよい。プラズマチャンバ326及び/又はプラズマ源302に隣接する補助チャンバ350及び/又は補助プラズマ源352の一部は、石英やアルミナなどの誘電体材料から形成されている。例えば、プラズマチャンバ326および補助プラズマチャンバ352の少なくとも一部、あるいはプラズマチャンバ326および補助プラズマチャンバ352の全体が誘電体材料から形成されている。ある場合には、ICP源302は、アルミナあるいは他の誘電体からなる放電管のまわりに配置された螺旋状コイル電極を有している。別の場合には、ICP源は3コイルの螺旋状電極を有している。
シールド304はファラデーシールドからなっている。ある実施の形態においては、ファラデーシールドは、ICP源302との外部からの干渉を防止するのに適した任意の導電性材料からなっている。ある場合には、シールド304は銅からなっている。ある場合には、シールド304は、ICP源302のコイルとそれが発生するプラズマとの間の容量結合を防止するような構造を有している。別の場合には、シールド304はプラズマチャンバ326からどのような静電気信号も放出させないような構造を有する。
基板サポート306はエッチングのときに半導体を支えるサポートからなっている。ある実施の形態においては、基板サポート306は電極からなっている。ある場合には、基板サポート306は接地電極である。ある場合には、基板サポート306は、RF電磁界あるいは直流電流(DC)パルスに応じてバイアス電圧を発生し維持するように構成されたバイアス電極からなっている。別の実施の形態においては、基板サポート306はプラズマチャンバ326の底部330を介してプラズマチャンバ326の中に入る。ある場合には、基板サポート306はプラズマチャンバ326の底部330で、あるいはそれの近傍で基板301を支える。
境界電極308は基板サポート306に近接して配置された導電性材料からなっている。ある実施の形態においては、境界電極306は、プラズマチャンバ326の底部330の近くで基板サポート306のまわりに同心状に配置されている。ある場合には、境界電極308は、プラズマ源350、補助プラズマ源302、及び/又はカウンタ電極302へ印加されるRFあるいはDCの信号に応じてバイアスを印加するようになっている。
カウンタ電極310は、基板サポート306と垂直方向の反対側に配置された導電性材料からなっている。ある実施の形態においては、カウンタ電極310はチャンバ326の中で境界電極308の反対側に配置されている。ある場合には、カウンタ電極310には、プラズマ源302、補助プラズマ電極352および境界電極308へ印加されるRFあるいはDCの信号に応じてバイアス電圧が印加される。ある場合には、カウンタ電極310は、境界電極308のバイアス電圧と反対のバイアス電圧あるいはパルスバイアス電圧を発生する。
インレット312はチャンバ126の中へのガス導管からなる。ある実施の形態においては、インレット112はチャンバ126の上部に近接しているか、上部128あるいはチャンバ126を貫いている。それに限定されるわけではないが、インレット312はプラズマチャンバ326の中へ不活性ガスおよび反応ガスを導く。ある場合には、インレット312はチャンバ326およびプラズマ源302へ加熱されたガスを供給する。ある場合には、インレット312はチャンバ326およびプラズマ源302へ非イオン化プロセスガスおよび反応ガスを導入する。別の場合には、インレット312は、少なくとも部分的にイオン化したプロセスガスおよび反応ガスをチャンバ326およびプラズマ源302へ導入するために、少なくとも一つの補助プラズマ源350と連通している。
プラズマ源302はパルス発生システム314へ連結されている。ある実施の形態においては、パルス発生システム314は、プラズマ源302へパルスの、あるいは連続なRF及び/又はDCの信号を印加することができる少なくとも一つの電源を有している。ある場合には、パルス発生システム314は少なくとも一つのRFあるいはDCの電源と、電力増幅器を有する。他のいくつかの場合には、パルス発生システム314は複数のRFあるいはDCの電源と、電力増幅器を有する。パルス発生システム314はインピーダンス整合回路(例えばL形の)を介してプラズマ源302へ結合されている。パルス発生システム314はさらに、プラズマ源302へ任意の周波数で電力を供給するようになっている。ある場合には、パルス発生システム315は周期的なパルスの形でプラズマ源302からの電力を切断、あるいは除去するようになっている。ある場合には、RFあるいはDCの電源が、ゼロ電圧と、予め決められた高電圧との間の方形波を、予め決められた周波数でプラズマ源302へ印加する。当該分野の技術者にはわかるように、コイル中を流れるRF電流を除去したり変更したりすると、プラズマの形成が解除されたり強められたりする。
基板サポート306はサポートシステム316へ連結されている。ある実施の形態においては、サポートシステム316は基板サポート306を含んだ電気回路からなっている。ある場合には、サポートシステム316は接地された電極である。ある場合には、サポートシステム316はRFファンクションジェネレータあるいはDC電源である。サポートシステム316は、RFファンクションジェネレータあるいはDC電源からの電気パルスに応じて、基板サポート106でバイアス電圧を発生するようになっている。ある構造においては、サポートシステム316は、基板サポート305におけるバイアス電圧としてパルス発生システム314からRFあるいはDCの電流を受け取る。さらに、基板サポート316のバイアス電圧はシステム300の他の電極に合わせてパルス化されてもよい。
境界電極308は第1の電圧システム318へ連結されている。ある実施の形態においては、第1の電圧システム318は、境界電極318を含んだ電気回路からなっている。ある場合には、第1の電圧システム318は、電気的グラウンドか、RFファンクションジェネレータか、あるいはDC源源である。ある場合には、第1の電圧システム318は、DC電源に応じて境界電極308でバイアス電圧を発生するようになっている。ある構造においては、第1の電圧システム318は、境界電極308におけるバイアス電圧としてパルス発生システム314からRFあるいはDCの電流を受け取る。さらに、境界電極308のバイアス電圧はシステム300の他の電極に合わせてパルス化されてもよい。
カウンタ電極310は第2の電圧システム320へ連結されている。ある実施の形態においては、第1の電圧システム318は、カウンタ電極310を含んだ電気回路からなっている。ある場合には、第2の電圧システム320は電気的グラウンドか、RFファンクションジェネレータか、あるいはDC電源である。ある場合には、第2の電圧システム320は、DC電源に応じてカウンタ電極310でバイアス電圧を発生するようになっている。ある構造においては、第2の電圧システム320は、カウンタ電極310におけるバイアス電圧としてパルス発生システム314からRFあるいはDCの電流を受け取る。さらに、カウンタ電極310のバイアス電圧はシステム300の他の電極に合わせてパルス化されてもよい。
ガス注入口312はガス源322へ流体的に連結されている。ある実施の形態においては、ガス源322は、プラズマ源302へ導入するためのプロセスガスと反応ガスの混合物からなっている。ある場合には、プロセスガスは、イオン化されてプラズマ源302でプラズマを形成する任意の不活性ガスからなっている。ある場合には、それらに限定されるわけではないが、プロセスガスは希ガス、窒素、水素、酸素、酸化ガスあるいはそれらの組み合わせからなっている。反応ガスは、プラズマ源302での部分的なイオン化のあと基板301によって化学吸着される任意のガスからなっている。ある場合には、それらに限定されるわけではないが、反応ガスはハロゲン、ハロカーボン、ハライド、あるいは他のハロゲン化ガスからなっている。別の場合には、プロセスガスと反応ガスはALETに適した任意のガスでよい。ある実施の形態においては、ガス源は体積で約90%以上のプロセスガス濃度を有し、別の場合には体積で約95%以上であり、ある場合にはガス源は体積で約99%以上のプロセスガス濃度を有している。
熱導管336はシステム内のガスの温度を変えるような構造になっている。ある実施の形態においては、冷却用導管はシステム100と熱的に接触していて冷却用の液体あるいはガスを運ぶようになった任意の導管である。ある場合には、冷却用導管136は円筒壁332およびシールド304と熱的に連通している。ある実施の形態においては、冷却用導管336は、円筒壁332とシールド304を連結するチャンバ底部330などのフランジと熱的に連通した状態に配置されている。
ポンプ324は、反応チャンバ326内のガス圧力を約1 mトールまで下げるような任意のポンプである。ある実施の形態においては、ポンプ324はプラズマチャンバ326内の圧力を約1mトールから約500の間にまで、別の場合には約5mトールから約250 mトールの間にまで、別の場合には約10mトールから約100 mトールの間にまで下げて維持するようになっている。ある場合には、ポンプ324はチャンバ326内を約10 mトールと約75 mトールの間の圧力にする。ある場合には、ポンプ324は少なくとも一つの真空ポンプからなる。ある実施の形態においては、ポンプ324はターボ真空ポンプおよびドライポンプからなる。理論的にはそれに限定されるわけではないが、ポンプ324はチャンバから、イオン化したガス、エッチングされた生成物、およびその他の気体の汚染物質を排出するために、任意の圧力範囲で動作するようになっている。
別のALETプロセス
ふたたび図4を参照する。図にはこの発明の別の実施の形態によるALETプロセスを制御するための別の方法が示されている。図4は、例えば図3に示されているALETシステムの様々なコンポーネントに印加されるRF/DC電力/電圧信号のタイミングシーケンスを示している。ある実施の形態においては、この信号を使ってALETプロセスのときにプラズマの物理および化学を制御している。
ここで図3を簡単に参照する。プラズマ源302には、図2のステージ202におけるようなエッチングステージのときにおよそ1秒間、RF電力が印加され、反応剤(例えばCl原子)を供給して化学吸着層を形成する。ある実施の形態においては、プラズマ源には吸着ステージ全体にわたってRF電力が印加される。以前にここで説明したように、プラズマ源には吸着ステージの最初の部分でRF電力が印加され、ステージの後半では電力が低減される。ある実施の形態において、プラズマチャンバ326内のプラズマは、補助プラズマチャンバ350内で発生される低電力の補助プラズマの末端で点火される。プラズマの点火のとき、イオン衝突エネルギは十分に低く(<10eV)、エッチングはいっさい起きない。図2のステージ252におけるようなエッチングステージのとき、およそ0.5 sのパルスICP期間によって、化学吸着層(例えばSiClx)が除去される。13.56MHzの方形波変調が印加されたRF電圧のように、プラズマ源の電力をパルス化することは、以下で述べるようにいくつかの利点を有する。
まず、例えば一般的に約100 msのオフ時間にわたって、プラズマ密度をあまり損失させることなく、電子エネルギ分布関数(EEDF)はアフターグローにおいてサイクルの電源オフ部分の最初の数msの間に急速に冷却する。その結果、低エネルギの時間平均されたEEDFは、供給ガスの解離の度合いをあるレベルで制御する。第2に、約100 msのアフターグロー期間の大部分において、この研究室で最近示したように、基板への単一活性イオン流を発生することができる。この例においては、境界電極へ正のDC電圧パルスを印加し、プラズマ電位を上げ、低い電位を有する基板表面の方へ正イオンを押している。すたがって、接地された基板には図7および図8に示されているように、VDC1に等しいエネルギを有するイオンが衝突する。イオンエネルギ分布の制御は、下側の基板の物理的スパッタリングを行わずに、化学吸着されたハロゲン化層の化学的スパッタリングを実施するには重要であることから、極めて狭い、したがって極めて選択性のあるIEDを得るこの方法は、モノレイヤの精度を有するALETを実現する有効な手段である。同期したパルス状の、浸された電極のバイアス電圧期間を有するこのパルス状のメインICPは、ハロゲン化されたエッチング生成層をスパッタするには十分な長さ(例えば0.5秒)である。しかし、当該分野の通常の技術者は、例えば基板サポートを介して基板へ負のDCあるいはRFの電圧を印加しても良いことは理解できよう。このプロセスにおいては、基板の電位を下げて正イオンを引き付けてもよい。
正味の正イオンの衝突によって正の電荷が基板上に溜まる。しかし、境界電圧パルスがゼロに戻り、プラズマがその自然な電位Vpへ近づくチャンスを得たあとは、グラウンド電位以上の電位を有する任意の帯電表面が正イオン流を越えた電子流を最初に受け入れて、それらの電位をグラウンド電位に近いフローティング電位に戻す。正電荷の中和を加速するためには、例えばカウンタ電極310へ大きな負のDCバイアスを印加する一方で、連続波のICP電力をオンにする。この負の電圧はVpには影響を与えない。しかし、その結果としてのカウンタ電極310の高エネルギイオン衝突によって、二次電子が発生し、完全なシース電位にまで加速される。これら高エネルギの“弾道”電子は小さな散乱断面積を有し、ほぼ垂直な入射角で基板へ衝突し、高アスペクト比の絶縁構造の底部でも正の電荷を補う。この弾道電子は、高プラズマ密度及び低バルクTeなど、バルクプラズマにもよい効果を有する。
別の場合には、絶縁性基板に対しては、アフターグロー期間のときに基板電極へ同期したRFパルス電圧を印加する結果として、基板に負の自己バイアスがかかり、基板に活発な正イオンの衝突が起きる。プラズマ密度および印加周波数に応じて、RFバイアスがかかった基板301上のイオンエネルギは、平均シース電位のところにピークを生じるか、あるいは二つのピークを有する。その結果、イオンエネルギ分布は、通常、ALETに必要とされる極端な選択性を達成するには広すぎることになる。非常に高い周波数(100MHz)のバイアスを印加することによってIEDを狭めることはできるが、IEDの幅はイオン質量に依存し、混合したガスプラズマ中ではIEDの制御は非常に困難になる。狭いイオンエネルギ分布は調整(tailored)バイアスパルスを用いて得ることが可能であろう。導電性基板に対しては、上で述べた境界電圧の場合と同じように、アフターグローのときに、同期したパルスDC負バイアスを基板サポート電極へ直接印加することができ、ほぼ単一の活性イオン衝突が所望の任意のエネルギで達成が可能である。
ここで図5を参照する。図にはALETプロセスのプロセスフロー図が示されている。図に示されているように、この方法500は一般に二つのステージ、すなわち吸着ステージ502とエッチングステージ550を有する。理解できるであろうが、各ステージは一つ以上の段階、又は連続して若しくは同期して行われた時に方法500を実現するだんだんと追加される段階からなる。言い換えると、図5は順番に実行される段階を示しているが、これらの段階は同時に実行してもよいし、その段階の少なくともいくつかの部分を同時に実行してもよい。図5におけるように、吸着ステージ502は、基板設置段階504、反応剤形成段階510、反応剤吸着段階520を有する。一方、エッチングステージ550は電位差増加段階570を有している。上述したように、プラズマと基板との間の電位差は、プラズマあるいは基板にRFあるいはDCの電圧を印加することによって増大する。オプションとして、エッチングステージ550は基板電荷中和段階552と、プラズマパルス駆動段階560と、エッチング生成物除去段階580も有する。上述したように、電荷中和段階552はカウンタ電極にバイアスを印加することによって実行される。このALETプロセス500は従来のALETプロセスよりもかなり高速である。さらに詳しくは、基板設置段階504のあと、残りの吸着段階520は、約0.01秒から約10秒の間の、別の場合には約0.1秒から約5秒の間の、そしてある実施の形態においては約0.5秒から約1.5秒の間の時間が必要である。また、エッチングステージ550は、約0.01秒から約10秒の間の、別の場合には約0.1秒から約5秒の間の、そしてある実施の形態においては約0.2秒から約1秒の間の時間が必要である。エッチング生成物除去段階580のあとは、所望のエッチング深さに達するまで、ステージあるいは段階が全体的に、あるいは部分的に繰り返される。ある場合には、電荷中和段階552と、プラズマ源パルス駆動段階560と、電位差増加段階570は同時か、交互か、あるいは同期して実行される。
さらに詳しくは、吸着ステージ502は、基板上で反応剤を吸着するのに適した、説明した高速ALETプロセスにおける段階を有している。このステージにおける第1段階は基板設置段階504からなり、そこでは基板がチャンバ内に設置される。ある実施の形態においては、基板は基板サポートに取り付けられる。ある場合には、基板サポートは電極である。
基板をチャンバ内に設置するとき、チャンバ内の圧力が下がる。ある実施の形態においては、ALETプロセスのときの圧力は約1mトールから約500 mトールの間に、別の場合には約5mトールから約250 mトールの間に、また別の場合には約10 mトールから約100 mトールの間に維持される。ある場合には、基板設置段階のとき、圧力は10 mトールから約75 mトールの間に維持され、ALETプロセス全体を通じてそれに維持される。別の場合には、圧力を変更して、新ALETプロセス全体を通じた任意の時間においてIED制御を行う。当該分野の技術者には理解できるように、反応チャンバ内の圧力の増加は、ガス粒子およびラジカルの増加と関係する。理論的にはそれに限定されるわけではないが、圧力を上げるとイオンのピークエネルギは減少するとともにIEDが広がり、その逆も言える。
反応剤形成段階510のときには、供給ガスがチャンバ内へ導入される。ある実施の形態においては、供給ガスは不活性ガスと反応ガスからなっている。理論的にはそれに限定されるわけではないが、反応ガスは、イオン化されると反応種を有する。この実施の形態においては、反応ガスはCl2からなる。しかし、当該分野における技術者は、他のハロゲン含有ガスなど、他の反応ガスを用いてもよいことは理解できよう。一方、不活性ガスはArでよい。しかし、当該分野の技術者は他の不活性ガスを用いてもよいことは理解できよう。この実施の形態においては、不活性ガスは反応ガスよりも高い体積濃度を有している。ある場合には、不活性ガスは体積で、混合ガスの約0.01%から約20%の間の、別の場合には約0.01%から約15%の間の、また別の場合には約0.01%から約10%の間の濃度を有している。別の場合には、反応ガスは混合ガスの体積で約0%以上かつ約5%以下の任意の濃度を有している。
反応ガスおよび不活性ガスを含んだ供給ガスはプラズマ源によってイオン化されて、特に、反応剤と、反応ガスイオンと、不活性ガスイオンを含んだプラズマを形成する。上述したように、様々なタイプのプラズマ源を用いることができる。ある実施の形態においては、供給ガスは約200K以上の温度まで、別の場合には400K以上の温度まで加熱される。ある場合には、ガス流にはさらにRF電磁界が当てられる。種やラジカル、イオン、電子および光子の励起状態を組み合わせたものを含んだ成分がエッチングチャンバの中に注入される。部分的にイオン化された反応ガスは、チャンバ内の電荷バイアスに応じて、基板の方へ、あるいは基板から離れるように引っ張られる。
反応剤吸着段階520のときに、反応剤は基板表面上へ吸着あるいは化学吸着される。ある実施の形態においては、チャンバ内のバイアス電圧によってイオン化した反応ガスが基板へ引き付けられる。基板は、不対電子やダングリングボンドなどの反応剤を吸着するための表面サイトを限られた数しか有していない。基板上のすべての利用可能な表面サイトあるいはダングリングボンドが反応剤で占有されて、吸着ステージが終わるまで、反応剤は基板表面の上に吸着し続ける。その結果、反応剤原子のモノレイヤと下側の基板原子のモノレイヤからなる生成層が形成される。反応剤吸着段階520のときのエッチングを避けるため、あるいは最小限に抑えるために、反応剤吸着段階520のときのプラズマおよびイオンは低いエネルギ(例えば10 eV以下)に維持される。
吸着ステージ502が完了したあと、エッチングステージ550が実行される。上述したように、エッチングステージ550は電位差増加段階570を有する。この段階のとき、プラズマと基板との間の電位差が増大されて、プラズマからのイオンが所望のエネルギ範囲で基板へ衝突するようにされる。例えば、イオンエネルギは、物理的スパッタリングの閾値以上で、化学的にアシストされたスパッタリングに対する閾値以下になるよう選択される。上述したように、電位差は、DCあるいはRFの電圧をプラズマ、基板、あるいは両方に印加することによって増大される。さらに、印加される電圧は連続的でも(図2に示されているように)、パルス化されていてもよい(図4に示されているように)。パルス化された電圧を印加する場合には、電圧パルスの間にプラズマ源へRFパルスが印加される。ある実施の形態においては、RFパルスの印加は、プラズマ源(例えばICP源)に周期的な方形波ファンクションを加えることからなる。このときの方形波はゼロパワーから、予め決められた電力まで変化する。理論的にはそれに限定されるわけではないが、この予め決められた高電圧は、生成層を除去するのに十分なイオンエネルギを有するイオンを発生するようにできる。ある場合には、このエネルギを有するイオンがIEDに対するイオンエネルギの下限を設定する。逆に、予め決められた高電圧は、基板に損傷を与えないような低いイオンエネルギを有するイオンを発生するようにできる。ある場合には、このエネルギを有するイオンはIEDに対するイオンエネルギの上限を設定する。さらに詳しくは、ICPプラズマに対する高電圧パルスは、プラズマパルス駆動段階560のとき、IEDがこれらのパラメータ内に完全に入るように選ばれる。
オプションとしてのプラズマパルス駆動段階560のとき、方形波ファンクションは約1ミリ秒から500ミリ秒の間で、別の場合には約10ミリ秒から約250ミリ秒の間でプラズマをパルス駆動し、またある場合にはプラズマは約25ミリ秒から約100ミリ秒の間でパルス駆動される。さらに、方形波ファンクションは、約10ミリ秒から約750ミリ秒の間で、別の場合には約50ミリ秒から約500ミリ秒の間で、また別の場合には約100ミリ秒から約250ミリ秒の間でプラズマを約ゼロ電圧までパルス駆動する。プラズマを約ゼロパワーまでパルス駆動したとき、アフターグローが残る。理論的にはそれに限定されるわけではないが、アフターグローは生成層を除去するのに必要なIEDの範囲内にあるイオンを含んでいる。
オプションとしての電荷中和段階552において、カウンタ電極には負のバイアス電圧が印加される。ある場合には、カウンタ電極には、正に帯電したイオンをカウンタ電極の中へ引き付ける負の電圧が印加される。正に帯電したイオンがカウンタ電極の中に衝突することによって、基板へほぼ垂直に入射する高エネルギの二次電子が発生する。さらに、二次電子はプラズマ密度を大きくし、バルク電子温度Teを下げる。
プラズマへ印加されるパルスの間に、境界電極には正の電圧パルスが印加される。ある場合には、方形波ファンクションが境界電極をDCパルス駆動し、約10ミリ秒から約750ミリ秒の間で、別の場合には約50ミリ秒から約500ミリ秒の間で、また別の場合には約100ミリ秒から約250ミリ秒の間で正に帯電した電圧バイアスを加える。ある場合には、正に帯電した電圧バイアスは、高電圧プラズマパルスがないときにのみ加えられる。別の場合には、正に帯電した電圧バイアスは生成層250をエッチングする期間全体にわたって存在する。
ある場合には、基板サポートは接地され、RFかDCか、あるいはその組み合わせによって駆動される。ある実施の形態においては、基板ステージは境界電極と同じようにパルス駆動される。さらに、いくつかの基板は異なる導電性を有することから、基板サポートバイアスをパルス駆動すると、システムの任意の電極に対して前述したようにIEDを制御する別の手段が提供される。さらに詳しくは、基板サポートには負のDC電圧を印加することもできる。別の場合には、絶縁性基板の場合や、その他の選ばれた条件のもとにおいては、高周波のRFパルスや、調整(tailored)DCパルスを基板サポートに印加しても良い。
ALETパルス駆動
上述したように、エッチングステージ550のときのオプションであるプラズマパルス駆動によって、供給ガスの解離およびIEDを制御できるようになる。エッチングステージ550のときにプラズマパルス駆動することによって、基板に当たるイオンの角度分布が小さくなる。衝突がない条件のもとでは、角度の広がりは式1によって与えられる。

Figure 2012529777
式(1)

シース電圧V = Vsh= 50VおよびTe=0.3eVに対して、角度広がりはqIAD=3°である。非常に高いイオンエネルギでの従来のプラズマエッチングに匹敵するこの小さな角度広がりは、多数の原子層を貫いて確実に深いエッチングを行ったり、斜め角度の衝突による装置の側壁へのイオンエネルギ伝達や側壁の損傷を最小限に抑えたりするには非常に望ましい。
電荷や、イオン化、電磁的な電位に関するすべての議論は単に例にすぎないこと、また一つの実施の形態における材料(matter)の状態に関する任意の議論は反対の状態へも等しく適用可能であることは、技術者には理解できるであろう。さらに詳しくは、それに限定されるわけではないいくつかの実施例が負に帯電したイオンと電極との間の関係を記述していても、当該分野の技術者は、正に帯電したイオンと電極との間の相互作用も同じような特性を有することを理解できよう。
この発明の実施の形態を示して説明してきたが、当該分野の技術者は、発明の精神および教示から逸脱することなく、それらに修正を加えることが可能である。ここに記載されている実施の形態は単に例であり、発明を制限するものではない。ここに記載されているこの発明に対して多くの変形および修正が可能であり、それらはこの発明の範囲内である。数値的な範囲あるいは制限が明確に述べられているところでの、そうした明確な範囲あるいは制限は、その明確に述べられている範囲あるいは制限の中に入る同じような大きさの範囲あるいは制限を反復したものを含んでいると(例えば約1から約10というのは2、3、4などを含んでおり、0.1以上というのは、0.11、0.12、0.13を含んでいるなど)理解すべきである。請求項の要素に関して、“オプションとして(optionally)”という用語は、主題の要素が必要であること、あるいは別の場合には必要でないことを意味するために使われている。その両方ともがその請求項の範囲内にあることを意図している。成る、含む、有する、などより広い用語の使用は、構成されている、本質的に構成されている、実際的に構成されているなどのより狭い用語をサポートしていると理解されるべきである。したがって、保護の範囲は以上の記述によって制限されるのではなく、以下の請求項によってのみ制限される。請求項の範囲は、請求項の主題のすべての等価物を含んでいる。それぞれの、またすべての請求項が、この発明の実施の形態として、明細書の中に組み込まれている。したがって、請求項はさらなる記述であり、この発明の実施の形態への追加である。関連技術の説明における参照文献についての議論は、特にこの明細書の優先日以降の公開日を有する任意の参照文献について、それがこの発明の先行技術であることを認めているものではない。ここに引用されているすべての特許、特許願、公刊物の明細は、ここに述べられているものを補足する模範的な、手続き上の、あるいはその他の詳細を提供しているという範囲内で、参考のためにここに組み込まれている。
この発明の様々な実施の形態をさらに説明するために、以下の例が提供されている。
実施例
実験装置
図3および図6はこの研究で使用されている実験装置を示した図である。誘導結合プラズマ(ICP)を、長さ17.8cm、内径8.6cmのアルミナ管の中にある3ターンの螺旋状コイルによって点火した。銅のファラデーシールドがコイルとプラズマとの間の容量結合を防止している。アダプタフランジを介して放電管を立方体のステンレススチール(SS)のチャンバへ連結した。フランジ内の水路は、ファラデーシールドを冷却し、放電管の過熱を防止する働きを有している。システムはドライポンプによって支援された300l/sのターボポンプによって圧送される。圧力は、0.1 トールのフルスケール設定でプラズマの下流に取り付けられたMKS629キャパシタンスマノメータによって測定した。プラズマがないときの校正測定によって、放電領域の圧力は圧力ゲージの位置で測定した圧力よりも約30-40%高いことがわかった。以下で述べる圧力はすべて校正された値であり、プラズマ領域を参照している。
ステンレススチール電極は、プラズマ源の上部電極からなっている。上部電極は電極に溶接された三つの同軸円筒SSリングを有していて、全表面積を約300cm2まで増大し、スパッタリングされた金属がチャンバをコーティングするのを最小限に抑えている。表面積を大きくすることは、プローブをVp近くにバイアスしたときのラングミュアープローブ測定に必要であることがわかった。そのとき、適切な電流を供給し、Vpの人為的な増大を防止するためには、接地された大きな面積が必要である。99.999%の高純度のアルゴンガスを上部電極の中心にある1mmの直径の穴を通して放電管の中へ供給した。13.56MHzのプラズマ電力を、電力増幅器(ENIModel A-500)へつながるファンクションジェネレータ(HEWLETTPACKARD a Model3325A)を用いて供給した。増幅器の出力はL形の整合回路を介してコイルへ接続した。進行電力と反射電力を整合回路の前に設置したインラインBirdメータによってモニタした。14mトールの一般的な連続波(CW)の300Wのアルゴンプラズマに対して、反射電力は1-2Wであった。プラズマ内で実際に消費される電力は、電力損失のために、整合ボックスへ供給される正味の電力よりもいくらか小さい。パルスプラズマ動作のために、RFパルスを別のファンクションジェネレータ(BNC Model 645)によって振幅変調した。波形は4チャンネルのオシロスコープ(TEKTRONIXa Model TDS 2024B)を用いてモニタした。パルスプラズマ実験に対する基本的なケースの条件は、120Wの時間平均進行電力、8Wの反射電力、10kHzの電力変調周波数、20%のデューティサイクル、14mトールの圧力、40立方センチメートル毎分(sccm)のアルゴンガス流量であった。印加した変調周波数とデューティサイクルの結果として、一つのパルスの100msという時間の間で、20ms(マイクロ秒)がプラズマのオン(アクティブグロー)時間になり、80msがプラズマのオフ(アフターグロー)時間になった。
実験操作の概要
図2および図4は、プラズマの物理および化学を制御するために使用されるタイミングシーケンスの例を示している。まず、約1s(秒)の連続波のメインRFICPを低パワーの補助プラズマの末端によって点火し、化学吸着層を形成するための反応剤(例えばCl)を用意する。この間、イオン衝突エネルギはエッチングを行うには低すぎる(<10eV)。つぎに、一般的に〜0.5sのパルス化されたICP期間によって、化学吸着された層(例えばSiClx)を除去する。メインのRF-ICPプラズマ源パワーをパルス駆動する(例えば13.56MHzの方形波変調が印加されたRF電圧)ことにはいくつかの利点がある。まず、例えば一般的に約100 msのオフ時間にわたって、プラズマ密度をあまり損失させることなく、電子エネルギ分布関数(EEDF)はアフターグローにおいてサイクルの電源オフ部分の最初の数msの間に急速に冷却する。その結果、低エネルギの時間平均されたEEDFは、供給ガスの解離の度合いをあるレベルで制御する。第2に、約100 msのアフターグロー期間の大部分において、この研究室で最近示したように、基板への単一活性イオン流を発生することができる。この例においては、境界電極へ正のDC電圧パルスを印加し、プラズマ電位を上げ、低い電位を有する基板表面までイオンを“押している”。すたがって、接地された基板には図7および図8に示されているように、VDC1に等しいエネルギを有するイオンが衝突する。イオンエネルギ分布の制御は、下側の基板の物理的スパッタリングを行わずに、化学吸着されたハロゲン化層の化学的スパッタリングを実施するには重要であることから、極めて狭い、したがって極めて選択性のあるIEDを得るこの方法は、モノレイヤの精度を有するALETを実現する有効な手段である。同期したパルス状の、浸された電極のバイアス電圧期間を有するこのパルス状のメインICPは、ハロゲン化されたエッチング生成層をスパッタするには十分な長さ(例えば0.5秒)である。
正味の正イオンの衝突によって正の電荷が絶縁性基板上に溜まる。しかし、境界電圧パルスがゼロに戻り、プラズマがその自然な電位Vpへ近づくチャンスを得たあとは、グラウンド電位以上の電位を有する任意の帯電表面が正イオン流を越えた電子流を最初に受け入れて、それらの電位をグラウンド電位に近いフローティング電位に戻す。正電荷の中和を加速するためには、図3、図5および図6におけるように、カウンタ電極310へ大きな負のDCバイアスを印加する一方で、連続波のICP電力をオンにする。この負の電圧はVpには影響を与えない。しかし、その結果としてのカウンタ電極の高エネルギイオン衝突によって、二次電子が発生し、完全なシース電位にまで加速される。これら高エネルギの“弾道”電子は小さな散乱断面積を有し、ほぼ垂直な入射角で基板へ衝突し、高アスペクト比の絶縁構造の底部でも正の電荷を補う。この弾道電子は、高プラズマ密度及び低バルクTeなど、バルクプラズマにもよい効果を有する。
別の場合には、絶縁性基板に対しては、アフターグロー期間のときに基板電極へ同期したRFパルス電圧を印加する結果として、基板に負の自己バイアスがかかり、基板に活発な正イオンの衝突が起きる。プラズマ密度および印加周波数に応じて、RFバイアスがかかった基板上のイオンエネルギは、平均シース電位のところにピークを生じるか、あるいは二つのピークを有する。その結果、イオンエネルギ分布は、通常、ALETに必要とされる極端な選択性を達成するには広すぎることになる。非常に高い周波数(100MHz)のバイアスを印加することによってIEDを狭めることはできるが、IEDの幅はイオン質量に依存し、混合したガスプラズマ中ではIEDの制御は非常に困難になる。狭いイオンエネルギ分布は調整(tailored)バイアスパルスを用いて得ることが可能であろう。導電性基板に対しては、上で述べた境界電圧の場合と同じように、アフターグローのときに、同期したパルスDC負バイアスを基板サポート電極へ直接印加することができ、ほぼ単一の活性イオン衝突が所望の任意のエネルギで達成が可能である。
最も簡単な構造におけるALETの段階が図2の例に示されており、例としてAr(アルゴン)中のCl2でのSiエッチングを用いている。段階1(典型的には1秒続く)では、基板をグラウンド電位にして、サンプルを連続波のRF誘導結合プラズマに曝す。プラズマは多くの場合は微量(<1%)のCl2を含んだ不活性ガスである。誘導性源の静電シールドと、比較的高い圧力を用いると、基板に衝突するイオンのエネルギは化学的スパッタリングの閾値よりも小さくなり、したがって段階1ではエッチングは行われない。Cl原子はp型の、あるいは中程度にドーピングされたn型のSiを室温ではエッチングしない。供給ガス中のCl2から解離したCl原子によって、約1秒で、塩素化された生成物(例えばSiエッチングについてはSiClx)の充満層を形成することができる。
約0.5秒続く段階2では、パルス駆動されたメインICPが用いられ、各メインICPパルスのアフターグローの中への約10msにわたって同期させて正のDCバイアスパルスを境界電極へ印加して、生成層を化学的にスパッタリングする。別の場合には、段階2のバイアスは(導電性)基板電極へ印加される負のDC電圧でもよいし、選ばれた条件のもとで(絶縁性)基板電極へ印加される高周波RFパルスあるいは調整(tailored)パルスでもよい。この段階は、各生成物からの発光によってモニタされ、化学的スパッタリングの産出物に関する情報とプロセスを制御する手段を提供する。1秒から数秒で1モノレイヤというエッチングレートは、すなわち将来のデバイスにおいてはナノメータスケールの構造に対して極めて実際的なものであり、パルス化されたガスおよびパージというスキームをベースにした従来の原子層エッチングよりもずっと高速である。
エッチング段階においては、イオンエネルギは、物理的スパッタリング閾値以下ではあるが、化学的にアシストされたスパッタリングに対する閾値以上であるように選ばれる。このレジームは非常に高い選択性を提供するとともに損傷を最小限に抑える。なぜなら、エッチング生成物の化学吸着層が化学的にスパッタリングで除去されたあと、(自己制御式に)エッチングが停止するからである。Siに対する閾値は、様々な条件のもとで一般に10-25eVである。
ラングミュアプローブ
イオンおよび電子の密度(niおよびne)、プラズマ電位(VP)、フローティング電位、および電子エネルギ確率関数(EEPF)を測定するためにラングミュアプローブを用いた。プローブ先端の直径は0.19mmであり、露出長さは40mmであった。補償(compensation)電極やRFチョークによって、プラズマ電位の発振による電流−電圧(I-V)特性の歪みは最小限に抑えた。本システムではファラデーシールドのためにプラズマ電位のピーク−ピーク振動はわずか1-2Vであって、このことは問題とならなかった。プローブは放電管の軸に沿って移動可能であり、空間的に分解して測定が行える。ノイズを低減するために、高速のデータ取得電子回路によって(与えられた場所の与えられたプラズマ条件に対する)I-V特性の100sの平均をとった。電流−電圧(I-V)特性は製造業者が提供するソフトウェアを用いて処理した。この解析は、衝突のないシースに対するラフランボワーズ(Laframboise)の軌道運動−制限(OML)理論によった。I-V特性のイオン電流領域において、プローブに大きな負の電圧がかかったときはシース内の衝突によって(特に高い圧力で)イオン電流は減衰させられる。したがって、この解析はプラズマ中のイオン数密度を小さく見積もり過ぎてしまう。正のイオン密度は、〜0から50Vの範囲の電圧を印加することによってI-V特性のイオン飽和レジームから抜け出すことから、正のイオン密度は、〜0mトール以上の圧力でだんだんと過小評価される。プローブは、パルスプラズマ動作のときに時間分解されたプラズマ特性を測定するために、“ボックスカー”モードにおいても操作された。
遅延フィールドエネルギアナライザ
接地された基板ステージ上の格子を通過するイオンのエネルギ分布を測定するために、遅延フィールドエネルギアナライザ(RFEA)を設けた。RFEAは、図6の挿入図に示されているように、三つのニッケル格子の積層と、3mm離間されたステンレススチールの電流コレクタプレートから形成されている。側部に設けられた18mmの方形穴で50%が開口されている上部格子は、プラズマと接触する0.3mmのピンホールを有する接地SSプレートへ取り付けた。この格子によって、プラズマシースがピンホールの上にぴったりと合わさることがないようにされる。中央および下部の格子は側部に設けられた293mmの方形穴でそれぞれ85%が開口している。中央の格子は-30Vでバイアスされていて、プラズマに電子を寄せ付けず、一方で下部の格子は鋸歯状のランプ電圧でバイアスされていて、イオンエネルギ分布(IED)を測定するためのエネルギ弁別器として作用している。電流増幅器(KEITHLEYa model 427)を用いてコレクタプレート上のイオン電流を測定した。パルスジェネレータと電力増幅器(AVTECHAVR-3-PS-P-UHFおよびAV-112AH-PS)を用いて20Hzのランプ電圧を弁別器の格子へ印加した。実験はLabVIEW(NATIONALINSTRUMENTSa)プログラムによって制御した。5000のI-V特性を平均化し“滑らかな”IEDを得ることによって、ノイズを低減した。RFEAは210l/sのターボポンプによって差動圧送して、アナライザ中のイオン−中性(ion-neutral)衝突を最小限に抑えた。アナライザ中の圧力は、放電管中の圧力よりも二桁低いと見積もられ、衝突のないイオン流が得られていた。RFEAのエネルギ分解能は公式:〜DE/E=2%を用いて評価した。
図9は、様々な圧力に対してラングミュアプローブ(LP)で測定したイオンおよび電子の密度を、放電管の軸に沿った垂直位置の関数として示している。電荷密度はコイルの中央付近で最大値に達し、圧力とともに増大している。50mトールの圧力に対して、1.5×1012/cm3の最大イオン密度が得られている。上述したように、プローブシース内のイオン中性衝突によって、圧力が高くなると正のイオン密度はだんだんと過小評価されるようになる。したがって、正のイオン密度は、50 mトールで記録される値をかなり超える可能性がある。電子とイオンの密度は、3、7、14mトールの圧力に対してはほぼ等しい。28mトールに対しては、そして特に50mトールの中心付近では、電子密度は対応するイオン密度よりも小さい。これは、プローブがVP近くにバイアスされると大きな電流がプラズマから引き出されるという事実による。明らかに、プラズマと接触する境界電極の接地された表面は、これら大きな密度での電子損失を補うには十分な高さではない。ラングミュアプローブは、VPにおけるこの強制シフトを検出してそれを修正する参照電極を有しているが、これは正しいVPが観測される前にプローブ上の正電圧が最大値に達する点までである。Z=170mmでラングミュアプローブによって測定されたVPやTeが、それぞれの対応する圧力の隣にカッコ内に示されている。ラングミュアープローブを取り除いて、RFEAをz=170mmへ配置した。300Wの電力および7から50mトールの圧力のcwプラズマに対して、バイアスを印加せずに測定したIEDは、ラングミュアプローブで測定したように、VPにほぼ等しいエネルギにおいて単一のピークを有していた。
エッチング生成物の時間分解検出のための発光分光
活性イオン流パルスのときに表面から化学的にスパッタリングされたエッチング生成物の時間依存性をモニタするには発光分光を用いることができる。Cl2プラズマ中においてパルス駆動レーザ誘起の熱脱離においてわかっているのと同じように、塩素を用いたSiALETに対しては、我々はSi、SiCl、SiCl2生成物からの発光が期待される(HBrプラズマにおいてはSiおよびSiBrも見つかる)。GaNエッチングに対しては、GaおよびGaClからの強い発光が期待される。もしN2がGaNエッチングの主要な生成物であるとすると、N2発光を介してそれは容易にプラズマ中で検出することができる。レーザ周波数と、SiClおよびSiBrの励起状態との間の共振によって励起されるレーザ誘導蛍光に加えて、エッチング生成物(電子衝突の解離のあとの主要あるいは二次的な)の電子衝突によってこれらすべての種から発光が励起され、基板表面の近くの領域で観測が可能である。例えばSiClからの発光によって、化学的スパッタリングの産出物の量が、イオンパルス当たりに除去される物質の全量とともに、瞬時的なClのカバレージの関数として測定される。この測定を用いて、実時間でエッチングレートを制御する(例えば、イオンパルスの持続時間を調整して一定のエッチングレートを得る)ことができる。いくつかのICPシステムにおいてこれまで示されてきたように、化学光量測定は絶対的なCl密度を測定することができる。
In-situレーザ誘起熱脱離(LITD)
選ばれた実験においては、Cl、Br、そしておそらく他の表面種の瞬時的なカバレージをモニタするために、レーザ誘起熱脱離が用いられる。この方法は、図10におけるように、プラズマ中で基板がエッチングするとき、10nsの時間分解能(レーザパルスの幅)でモノレイヤの1%のカバレージを検出することができる。利用可能なレーザを用いて80から5000パルス/sまでの各レーザパルスによって表面を急速に加熱すると、その結果、プラズマ中に形成されたSi−ハライド(ClあるいはBr)層の一般的に半分が熱脱離する。したがって、化学吸着段階やエッチング段階のときに、表面を時間の関数として調べることができる。
In-situ XPSおよびin-situAFM/STM表面粗さ計測
プラズマに曝したあと、サンプルを真空のもとで超真空チャンバまで移し、XPSで分析する。ClやBrなどの反応剤の侵入深さを測定するために、またS i-1、2および3-ハライド、および“oSi×”部分、3ボンドのSiからSiと1ダングリングボンドの深さプロファイルを得るために、角度分解測定を実行する。マスクされたサンプルの上で、電子シャドウイングを用いて、斜めからのイオン衝突に曝された側壁の特性を調べた。これらの方法は、Cl2およびHBrプラズマ中でのSiエッチングのあと、表面の特性を明らかにするためにこのシステムで用いられてきた。側壁のin-situキャラクタリゼーションはGaNの場合には特に重要である。この材料に対しては、XPSは表面のストイキオメトリにおける変化に関する豊富な情報を、ALETプロセスパラメータの関数として提供してくれる。In-situ AFM-STM装置によって、大気に曝すことなく、処理された表面上での原子分解能測定が可能となる。高速ALETプロセスは原子層レベルの精度を提供してくれるため、実験結果をゆがめる可能性のある大気中の汚染物質によるサブモノレイヤのカバレージさえも避けることが大切である。これらの測定は、ALETのサイクルを繰り返したあとの表面粗さを最小限に抑えるプロセスパラメータを識別し、その結果、サイクル当たり1モノレイヤまでの精度でのエッチングを実現する助けになる。
境界電極上への連続DCバイアスの影響
図11は、境界電極へ印加される様々なDCバイアス値に対して14mトール、300WのcwのArプラズマに対するIEDを示している。各DCバイアス電圧に対してRFEAの位置においてラングミュアプローブで測定したVPの値が垂直の点線によって図11に示されている。測定されたVPの値はIEDのピークエネルギと素晴らしく一致している。DCバイアスの正の値に対しては、VPが上がり、IEDをもっと高いエネルギへシフトさせる。負のDCバイアスに対しては、最初VP は少し下がるが、印加バイアスがより負になるにつれて飽和する。DCバイアスなしの測定と比較すると、IEDのピークは、4、8、12Vの印加DCバイアスに対して、それぞれ3、7、11eVだけシフトする。印加バイアスとピークイオンエネルギとの間の差の1Vはおそらく、VPの若干の勾配によるものである。負のDCバイアスを印加したとき、ピークイオンエネルギにおけるシフトはバイアスなしよりも4V低いところで飽和する。境界電極へDCバイアスを印加したときのVPのシフトは容易に理解できる。正のバイアスはプラズマから電子を排出して、VP を上昇させ、最も高いエネルギの電子以外はプラズマ中に閉じ込められたままになる。小さな負のバイアス(数Te以下)を印加すると、境界電極への電流が遮断されるため、VP の正の値はより低くなる。境界電極へより大きな負のバイアスを印加してもイオン電流の変化は無視でき、VP にはほとんど影響を与えない。プラズマ密度あるいはTeに摂動がないと仮定すると、十分大きな負のバイアスではイオン電流は飽和する。
パルス駆動プラズマ
ほぼ単一の活性イオン衝突を得るためには、一定のシース電位を維持することとともに、シースへ入るイオンのエネルギ広がりを低減することが望ましい。プラズマ電位のRF発振はファラデーシールドによって抑えられているため、シースへ入るイオンのエネルギの広がりはTeに対応している。したがって、Teを下げるとエネルギの広がりも小さくなる。Teは、パルス駆動プラズマなどのようにプラズマ電力を変調することによって下げることができる。これらの条件のもとで境界電極へDCバイアスを印加すると、イオンは狭いエネルギ広がりで所望のエネルギまで加速することができる。図12は様々な圧力に対して電子温度を時間分解ラングミュアプローブ計測した結果を示している。与えられた圧力に対して、プラズマをオンにしたあとTeは急激に増大し、オーバーシュートして、そのあと準定常値へ達する。予期されるように、定常状態のTeは圧力を増大させると低下する。プラズマをオフにしたあと、Teはアフターグローにおいて徐々によりゆっくりとしたレートで長く減少する。さらに、Teはより低い圧力ではより速く低下する。Arプラズマにおいては、アフターグローのときの、最低励起状態(11.55eVでの3P2準安定状態)よりも低いエネルギを有する電子に対しては、壁への拡散が主要な冷却メカニズムである。圧力がより低ければ、拡散レートはより速くなり、したがってアフターグロー中のTeの減衰はより速くなる。
境界電極への連続DCバイアス
図13は、DCバイアスが境界電極へ連続的に印加されたときの、パルス駆動プラズマの条件のもとでのIEDを示している。DCバイアスの各値に対してIEDは二つのピークを有している。より高いエネルギにおいてピークがより広くなっていることは、プラズマがオンのとき基板へ衝突するイオンに対応している。これらのピークの形状およびエネルギは、図11に示されているcwプラズマで観測されたものとほとんど同じである。より低いエネルギでピークがより鋭いのは、アフターグローのとき基板へ衝突するイオンに対応している。これらのピークの平均エネルギは、印加したDCバイアスに対応している。アフターグローにおいて、VP はDCバイアスがないときには非常に低い値になる。正のDCバイアスを印加すると、プラズマの電位はほぼそのDCバイアスに等しくなる。アフターグローにおいては、電子エネルギ(又はTe)は急速に冷えるため、IEDの幅はずっと小さくなる。同様の結果により、パルス駆動される容量結合プラズマのアフターグローにおいてDCバイアスを印加することによって、ほぼ単一の活性IEDが示された。
境界電極への同期パルス駆動DCバイアス
以上のアプローチによって、狭くてチューナブルなIEDを形成できる一方で、サイクルのプラズマオン部分のときにシースへ入るイオンの広くてかつよく制御されていない集団も残る。プラズマON期間にDCバイアス電圧を止めることによって、これらのイオンのエネルギをイオンアシストされた大部分の表面反応に対する閾値以下に下げることができる。以下の結果は、アフターグローのときの特定時間において、境界電極へ、同期したパルスの正のDCバイアスを印加してパルス駆動したプラズマ動作での報告である。
圧力の影響
アフターグローにおいて、圧力の様々な値に対して、時間窓Dtb = 45-95msの間、+24.4VDCの同期バイアスを印加することによって測定したIEDが図14に示されている。〜22-23VにおけるシャープなピークはDCバイアスに対応しており、一方、より低いエネルギでのよりブロードなピークはサイクルのプラズマON部分から生じている。圧力が増大すると、図9におけるようにTeが、したがってVPがそれに伴って低下するため、ブロードなピークはより低いエネルギへとシフトする。図14に示されている二つのピークを有するIEDの最も重要な側面は、ブロードなピークと、対応するシャープなピークとの間の間隔を、DCバイアスとリアクタの圧力を変えることによって変化させられることである。そうした制御は、薄膜を、その下側の基板に対してエッチングするときに非常に高い選択性を実現するのに重要である。圧力は、低いエネルギピークではエッチングがされないように選ぶことができる。薄膜をエッチングする閾値と基板をエッチングする閾値との間に高いエネルギピークがくるように、これらの閾値の間が十分に離れていると仮定すれば、DCバイアスを選ぶことができる。つぎに述べるように、パルス駆動プラズマのデューティサイクル及び/又はアフターグロー中におけるDCバイアスを印加する時間の長さを変えることによって、各ピーク以下のイオンの部分も最適化することができる。
アフターグロー中のバイアスタイミングの影響
様々な開始時間(tb)および時間窓(Dtb)に対して、アフターグロー中のIEDも、同期したDCバイアス(+24.4V)を境界電極へ印加して測定した。パルスプラズマは、10kHzで120Wの平均電力、20%のデューティサイクル、14mトール、40sccmのAr流量で発生した。初期のアフターグローおよび後期のアフターグローにおいてDCバイアスを印加したIEDが、図15の(a)および(b)にそれぞれ示されている。図15(a)において、バイアス印加はアフターグロー中で徐々に遅い時間で開始されており、パルス中への60ms又はアフターグロー中への40msで終了し、したがってDtbは18から38msまで変化することになる。図14におけるように、より高いエネルギピークは印加バイアスに対応しており、一方、より低いエネルギピークはバイアスのないVPに対応している。tb=22msでバイアス印加を開始すると、プラズマをOFFにした2ms後では、Teは図12に示されているように依然として高く、その結果、それぞれの高エネルギピークの幅はより広くなる。tbがアフターグロー中へさらに遅れるにつれて、Teは減少し、したがってIEDの高エネルギピークの幅も減少する。図15(b)においては、Teが図12に示されているように時間とともにあまり変化しないときであるアフターグロー中の深いところでバイアス印加は開始する。したがって、IEDの幅はバイアス印加開始時間tbによってほとんど影響を受けない。図15(a)および(b)の両方において、収集されるイオン電流はDtbが増大するとともに大きくなる。
図16においては、Dtbを50msあるいは15msで一定に維持しながらバイアス開始時間tbを変えた。パルスプラズマ中への平均電力は120Wであった。バイアス窓が50msでTeの減衰時間の〜10msに比べて長いとき、バイアス印加の開始時間は図16(a)に示されるように、イオンエネルギ分布にほとんど影響しない。これは、平均Teをこれらのバイアス窓で割った値が小さく、おおよそ等しいからである。しかし、Dtbが15msと短いとき、初期のアフターグロー中のバイアス開始時間(tb=20ms)では図16(b)に示されているようにブロードなIEDピークになる。tbがアフターグロー中のより遅い時間へシフトするにつれて、IEDの幅は徐々に狭くなる。このときも、IEDの幅は、対応するバイアス窓のとき、Teと相関がある。
図17に示されているように、Dtb=50msを一定に維持しながら、プラズマ電力変調周波数を変えながら(5、7.5、10kHz)さらに実験を行った。パルスプラズマは、14mトールのAr圧力、20%のデューティサイクル、平均電力120Wで発生させた。同じデューティサイクルを維持して変調周波数を下げると、アクティブグローとアフターグローの両方の持続時間が増加する。この場合には、5kHz、7.5kHz、10kHzの変調周波数に対してtbはそれぞれ145ms、75ms、45msであった。これら三つの変調周波数すべてに対して、低エネルギピークはほぼ同じである。なぜなら、アクティブグローの持続時間はTeの、したがってVPの減衰時間に比べて長いからである。一方において、高エネルギピークは変調周波数が低下するにつれてより狭くかつ、より小さくなる。なぜなら、プラズマは低い変調周波数においてはより長い期間で減衰し、その結果、Teがより低くなるからである。変調周波数が低下するにつれてピークのFWHMが狭くなることは、図17(b)の規格化された曲線によってより明瞭に示されている。
二つの異なるデューティサイクル(20%および50%)での14mトールのArパルスプラズマに対するIEDが図18に示されている。アフターグロー中において、同期した+24.4VのDCバイアスを70から98ms印加した。平均電力は、10kHzの変調周波数で20%および50%のデューティサイクルに対してそれぞれ120Wおよび280Wであった。より長いデューティサイクルに対してピーク下側の面積はより大きい。プラズマがより長い時間にわたって減衰し、その結果、TeおよびVPがより低くなるため、高エネルギピークは20%のデューティサイクルに対して幅がより狭くなる。20%のデューティサイクルの場合と違って、図12に示されているように50%のデューティサイクルに対してはバイアスを印加しているときTeはなおもかなり高く、その結果、残留VP は20%のデューティサイクルに対する1.9Vと比べて3.7Vと高くなる。この残留VP における違いが、図18におけるそれぞれのIEDの幅の違いを説明している。IEDのピーク下側の面積は、バイアス窓のときに集められるイオン電荷に比例する。この電荷は、イオンBohm流J0 = e ns uB(ここでnsはシースのエッジにおけるイオン密度、uBはBohm速度である)と既知のバイアス印加時間を用いて評価した。測定したイオン密度nb(ns = 0.6 nb)と、バイアスの持続時間にわたって平均した電子温度を用いて、評価したイオン電荷が実際に図15から図18の各ピーク下側の面積に比例していることがわかった。
IEDのエネルギ広がり
印加されるDCバイアスに対応するピークの半値全幅(FWHM)は、図14において7 mトールにおける1.7eVから50 mトールにおける2.5eVまで、圧力とともに増加している。これらのピークは、RFEAのエネルギ分解能よりはなお広いが、バイアスのないアクティブグローからのイオンのそれよりはずっと狭い。後者はE=25Vに対して、DE/E〜2%、あるいは0.5eVのFWHMと見積もられた。局所圧力は放電圧力よりも大きさが二桁低いため、差動圧送されるRFEA中の衝突は無視でき、使用した最も高いプラズマ圧力に対応するイオンの平均自由行程は〜15cmであり、アナライザの長さである〜1cmよりずっと長い。シース内ではいくらかのイオン−中性衝突が確かに起きる。これらは高い圧力におけるピークの左側へIEDの“テール”に寄与はするが、アフターグロー中の1.7から2.5eVの観測幅の主要な原因ではない。例えば、14 mトールにおけるイオン平均自由行程は約li=0.2cmであり、これはChild法則から見積もられるシース幅〜250mmよりも10倍大きい。この結果、イオン衝突確率Pc=1−exp(-s/li)は〜10%である。プラズマ密度は圧力とともに大きく増加し、シース幅を減少させ、圧力による平均自由行程の減少を相殺することに留意こと。プリシース(pre-sheath)におけるイオン−中性衝突はIEDの広がりに著しく寄与する可能性がある。イオン衝突事象に応じて、IEDのFWHMはTeの数倍になり得る。

Claims (23)

  1. パルスプラズマ源と、
    前記パルスプラズマ源と流体連通した反応チャンバと、
    を有するシステムであって、
    前記パルスプラズマ源は、チャンバの周囲に配置された螺旋状コイル電極と、管の中に配置されているとともにプロセスガス供給源と流体連通する注入口とを有しており、
    前記反応チャンバは、基板サポートと、境界電極とを有する、
    システム。
  2. 前記螺旋状コイル電極がパルスジェネレータに連結されており、このパルスジェネレータが、
    少なくとも一つの高周波ファンクションジェネレータと、
    インピーダンス整合回路と、
    を有する請求項1に記載のシステム。
  3. 前記チャンバの上部の近くに配置されているとともに、少なくとも部分的にチャンバの中へ延びているカウンタ電極を有する請求項1に記載のシステム。
  4. 前記カウンタ電極が基板サポートと垂直方向の反対側に配置されている請求項3に記載のシステム。
  5. 前記注入口が、酸素、酸化ガス、希ガス、ハロゲン、ハロゲン化ガス、窒素、水素、およびそれらの組み合わせから構成されるグループから選択されたガス源へ連結されている請求項1に記載のシステム。
  6. 前記境界電極が、反応チャンバ内でほぼ水平に基板サポートと隣接して配置されている請求項1に記載のシステム。
  7. 前記基板サポートがパルス電極を有する請求項1に記載のシステム。
  8. 基板をエッチングする方法であって、
    プラズマチャンバの中へ供給ガスを導入する段階と、
    前記プラズマチャンバの中に基板を設置する段階と、
    前記供給ガスからプラズマを発生する段階と、
    基板表面を反応剤で充満させて生成層を形成する段階と、
    前記生成層を除去する段階と、
    を有し、
    前記供給ガスが不活性ガスと反応ガスの混合物からなり、前記プラズマが反応剤とイオンを含んでおり、前記生成層が反応種のモノレイヤと基板の第1のモノレイヤ原子からなり、前記生成層の除去が生成層を前記イオンに曝すことによって行われる、方法。
  9. 前記発生する段階と充満させる段階が、第1の期間に行われ、前記除去する段階が第2の期間に行われる請求項8に記載の方法。
  10. 前記第1の期間の第1の部分のときに、プラズマ源に第1のRF電力レベルが印加される請求項9に記載の方法。
  11. 前記第1の期間の第2の部分のときは、プラズマ源が停止される請求項10に記載の方法。
  12. 前記第2の期間のときに、プラズマ源にRF電力パルスが印加され、当該RF電力パルスが第1のRF電力レベルよりも大きな第2のRF電力レベルを有する請求項10に記載の方法。
  13. 前記第2の期間のときに電極にバイアスパルスが印加され、第2の期間のときにRF電力パルスとバイアスパルスが交互に連続して印加され、バイアスパルスの少なくとも一つがRFパルスの少なくとも一つから約10msだけ除去される請求項12に記載の方法。
  14. 前記第2の期間のときに電極に正のバイアスパルスが印加され、電極が正のバイアスパルスをプラズマへ供給する請求項13に記載の方法。
  15. 前記電極に第2の期間のときに負のバイアスパルスが印加され、電極が基板へ電気的に連結されていて負のバイアスパルスを基板へ供給する請求項13に記載の方法。
  16. 前記生成層を除去する段階が、プラズマと基板との間の電位差を大きくしてプラズマから基板の方へイオンを導くことによって行われる請求項8に記載の方法。
  17. 前記電位差を大きくする段階が、プラズマへ正の電圧を印加する段階と基板へ負の電圧を印加する段階の少なくとも一方によって行われる請求項16に記載の方法。
  18. 前記供給ガスがチャンバの中へ連続的に導入される請求項8に記載の方法。
  19. 基板を処理する方法であって、
    第1の物質によって充満された基板表面の方へ、プラズマのアフターグローからイオンを導く段階を有する方法。
  20. 前記第1の物質と基板原子のモノレイヤをイオンを用いて除去する段階をさらに有する請求項19に記載の方法。
  21. 前記第1の物質が反応種からなる請求項20に記載の方法。
  22. 前記プラズマへパルス化されたRF電力を供給する段階をさらに有し、前記イオンを導く段階がRFパルスの間に実施される請求項19に記載の方法。
  23. 前記イオンを導く段階が基板近くの電極へバイアスパルスを供給することによって実施され、当該電極へ印加されるバイアスパルスとプラズマへ印加されるRF電力パルスが交互に連続して行われる請求項19に記載の方法。
JP2012515234A 2009-12-15 2010-12-14 パルスプラズマを用いた原子層エッチング Active JP5826746B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US28657209P 2009-12-15 2009-12-15
US61/286,572 2009-12-15
PCT/US2010/060251 WO2011081921A2 (en) 2009-12-15 2010-12-14 Atomic layer etching with pulsed plasmas

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013217145A Division JP5938381B2 (ja) 2009-12-15 2013-10-18 パルスプラズマを用いた原子層エッチング

Publications (2)

Publication Number Publication Date
JP2012529777A true JP2012529777A (ja) 2012-11-22
JP5826746B2 JP5826746B2 (ja) 2015-12-02

Family

ID=44121419

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2012515234A Active JP5826746B2 (ja) 2009-12-15 2010-12-14 パルスプラズマを用いた原子層エッチング
JP2013217145A Expired - Fee Related JP5938381B2 (ja) 2009-12-15 2013-10-18 パルスプラズマを用いた原子層エッチング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013217145A Expired - Fee Related JP5938381B2 (ja) 2009-12-15 2013-10-18 パルスプラズマを用いた原子層エッチング

Country Status (6)

Country Link
US (2) US20110139748A1 (ja)
JP (2) JP5826746B2 (ja)
KR (1) KR101392838B1 (ja)
CN (1) CN102934208B (ja)
TW (1) TWI567819B (ja)
WO (1) WO2011081921A2 (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015503223A (ja) * 2011-11-15 2015-01-29 ラム リサーチ コーポレーションLam Research Corporation ハイブリッドパルス化プラズマ処理システム
JP2016004983A (ja) * 2014-06-19 2016-01-12 東京エレクトロン株式会社 絶縁膜をエッチングする方法
JP2016134623A (ja) * 2015-01-16 2016-07-25 エーエスエム アイピー ホールディング ビー.ブイ. プラスマエンハンスト原子層エッチングの方法
JP2016208031A (ja) * 2015-04-20 2016-12-08 ラム リサーチ コーポレーションLam Research Corporation Mramスタックをパターニングする乾式プラズマ・エッチング法
JP2017157836A (ja) * 2016-03-01 2017-09-07 ラム リサーチ コーポレーションLam Research Corporation プラズマおよび蒸気処理の組み合わせを用いたal2o3の原子層エッチング
KR20180036646A (ko) * 2015-03-30 2018-04-09 도쿄엘렉트론가부시키가이샤 원자층 에칭 방법
JP2018510470A (ja) * 2015-03-17 2018-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated イオン−イオンプラズマ原子層エッチングプロセス及びリアクタ
JP2018523922A (ja) * 2015-08-07 2018-08-23 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 方向性プラズマ及び反応性ガスを用いて基板を処理する装置及び技術
JP2018142711A (ja) * 2011-11-15 2018-09-13 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理システムにおける不活性物優勢パルス化
KR20180106797A (ko) 2017-03-17 2018-10-01 가부시키가이샤 히다치 하이테크놀로지즈 에칭 방법 및 플라스마 처리 장치
JP2019073777A (ja) * 2017-10-17 2019-05-16 岩谷産業株式会社 混合ガスおよびそれを用いた溶射皮膜の形成方法
JP2020502811A (ja) * 2016-12-19 2020-01-23 ラム リサーチ コーポレーションLam Research Corporation デザイナー原子層エッチング
JP2020536393A (ja) * 2017-10-06 2020-12-10 ラム リサーチ コーポレーションLam Research Corporation 高エネルギー原子層エッチング
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US11462416B2 (en) 2019-02-04 2022-10-04 Hitachi High-Tech Corporation Plasma processing method and plasma processing apparatus
WO2022244638A1 (ja) * 2021-05-19 2022-11-24 東京エレクトロン株式会社 プラズマ処理装置及びrfシステム

Families Citing this family (500)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8642974B2 (en) * 2009-12-30 2014-02-04 Fei Company Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9390893B2 (en) * 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
FR3000600B1 (fr) 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN106842001B (zh) * 2013-04-24 2019-09-24 泰科英赛科技有限公司 采用聚焦离子束的电路跟踪
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
FR3017241B1 (fr) * 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
JP6396699B2 (ja) * 2014-02-24 2018-09-26 東京エレクトロン株式会社 エッチング方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104979175B (zh) * 2014-04-03 2018-12-18 中芯国际集成电路制造(上海)有限公司 栅极及晶体管的形成方法
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR101745686B1 (ko) * 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭을 위한 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI735912B (zh) * 2014-08-22 2021-08-11 美商蘭姆研究公司 在一狀態期間中的次脈動用之電漿系統、電漿工具、射頻產生器、控制器、及方法
CN105448635B (zh) * 2014-08-28 2018-01-09 北京北方华创微电子装备有限公司 原子层刻蚀装置及采用其的原子层刻蚀方法
US9362131B2 (en) * 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9609730B2 (en) * 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10207469B2 (en) 2014-12-08 2019-02-19 University Of Houston System Systems and methods for rapidly fabricating nanopatterns in a parallel fashion over large areas
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
JP6504827B2 (ja) * 2015-01-16 2019-04-24 東京エレクトロン株式会社 エッチング方法
TWI632607B (zh) 2015-01-26 2018-08-11 東京威力科創股份有限公司 基板之高精度蝕刻用方法及系統
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6356615B2 (ja) * 2015-02-06 2018-07-11 東芝メモリ株式会社 半導体製造装置および半導体製造方法
WO2016130795A1 (en) * 2015-02-12 2016-08-18 Massachusetts Institute Of Technology Methods and apparatus for variable selectivity atomic layer etching
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6516542B2 (ja) * 2015-04-20 2019-05-22 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TWI808473B (zh) * 2015-06-05 2023-07-11 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106328472B (zh) * 2015-07-02 2018-11-06 北京北方华创微电子装备有限公司 等离子体产生装置和半导体加工设备
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10128082B2 (en) 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10096487B2 (en) * 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
GB201515622D0 (en) * 2015-09-03 2015-10-21 Oxford Instr Nanotechnology Tools Ltd Cyclical plasma etching
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6670692B2 (ja) * 2015-09-29 2020-03-25 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6601257B2 (ja) * 2016-02-19 2019-11-06 東京エレクトロン株式会社 基板処理方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102304823B1 (ko) 2016-03-31 2021-09-23 도쿄엘렉트론가부시키가이샤 웨이퍼리스 건식 세정 광 방출 분광법을 사용한 건식 에칭 프로세스 특성 제어
EP3443139A4 (en) * 2016-04-12 2019-05-08 Picosun Oy COATING BY ALD TO REMOVE METAL BARBES
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107464764B (zh) * 2016-06-06 2020-01-03 北京北方华创微电子装备有限公司 一种承载装置及预清洗腔室
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10453653B2 (en) * 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10141161B2 (en) 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
WO2018094219A1 (en) 2016-11-18 2018-05-24 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
GB201620680D0 (en) * 2016-12-05 2017-01-18 Spts Technologies Ltd Method of smoothing a surface
WO2018111333A1 (en) 2016-12-14 2018-06-21 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
US20180274100A1 (en) * 2017-03-24 2018-09-27 Applied Materials, Inc. Alternating between deposition and treatment of diamond-like carbon
US20180277340A1 (en) * 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) * 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
KR102361377B1 (ko) * 2017-06-27 2022-02-10 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
CN107527958A (zh) * 2017-08-25 2017-12-29 苏州焜原光电有限公司 一种超晶格红外探测器表面钝化方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP7002921B2 (ja) * 2017-11-10 2022-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3524204A1 (en) * 2018-02-07 2019-08-14 Albert-Ludwigs-Universität Freiburg Plasma jet device
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP7061922B2 (ja) * 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
JP7461923B2 (ja) 2018-07-09 2024-04-04 ラム リサーチ コーポレーション 電子励起原子層エッチング
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US20200058469A1 (en) * 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7369896B2 (ja) * 2018-08-30 2023-10-27 東京エレクトロン株式会社 プラズマ処理のための制御のシステム及び方法
US11257685B2 (en) * 2018-09-05 2022-02-22 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
JP7481823B2 (ja) * 2018-11-05 2024-05-13 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
DE102018221188A1 (de) 2018-12-07 2020-06-10 Carl Zeiss Smt Gmbh Verfahren zum in situ Schutz einer Aluminiumschicht und optische Anordnung für den VUV-Wellenlängenbereich
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20200321186A1 (en) * 2019-04-02 2020-10-08 Applied Materials, Inc. Method and apparatus for angled etching
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11935758B2 (en) * 2019-04-29 2024-03-19 Lam Research Corporation Atomic layer etching for subtractive metal etch
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
WO2020100357A1 (ja) * 2019-08-05 2020-05-22 株式会社日立ハイテク プラズマ処理装置
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
JP7262375B2 (ja) * 2019-11-26 2023-04-21 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11158516B2 (en) * 2020-02-07 2021-10-26 Tokyo Electron Limited Plasma processing methods using low frequency bias pulses
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN111370308B (zh) * 2020-02-18 2023-03-21 中国科学院微电子研究所 一种刻蚀方法及系统、刻蚀控制装置、电子器件及设备
JP7382848B2 (ja) * 2020-02-20 2023-11-17 東京エレクトロン株式会社 基板処理方法および基板処理装置
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
WO2021236359A1 (en) 2020-05-19 2021-11-25 Tokyo Electron Limited Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
US20210374605A1 (en) * 2020-05-28 2021-12-02 Samsung Electronics Company, Ltd. System and Method for Federated Learning with Local Differential Privacy
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021252839A1 (en) * 2020-06-12 2021-12-16 Lam Research Corporation Pulsing remote plasma for ion damage reduction and etch uniformity improvement
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US20210408235A1 (en) * 2020-06-25 2021-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with silicide gate fill structure
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11864472B2 (en) 2020-07-10 2024-01-02 California Institute Of Technology Methods and systems for atomic layer etching and atomic layer deposition
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114078685B (zh) * 2021-11-17 2024-05-17 北京北方华创微电子装备有限公司 半导体工艺设备
US20230187214A1 (en) * 2021-12-14 2023-06-15 Tokyo Electron Limited Remote source pulsing with advanced pulse control
WO2023132889A1 (en) * 2022-01-04 2023-07-13 Applied Materials, Inc. Electrode tuning, depositing, and etching methods
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US20230374670A1 (en) * 2022-05-17 2023-11-23 Tokyo Electron Limited Etch process for oxide of alkaline earth metal
US11869747B1 (en) * 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03263827A (ja) * 1990-03-14 1991-11-25 Yasuhiro Horiike デジタルエツチング装置
JPH04279044A (ja) * 1991-01-09 1992-10-05 Sumitomo Metal Ind Ltd 試料保持装置
JP2002289584A (ja) * 2001-03-26 2002-10-04 Ebara Corp 表面処理方法
JP2006049817A (ja) * 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2792558B2 (ja) * 1987-12-07 1998-09-03 株式会社日立製作所 表面処理装置および表面処理方法
JP3217844B2 (ja) * 1992-03-27 2001-10-15 理化学研究所 エッチングダメージ防止方法
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
JPH11224796A (ja) * 1998-02-05 1999-08-17 Matsushita Electron Corp プラズマ処理装置及びプラズマ処理方法
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6310577B1 (en) * 1999-08-24 2001-10-30 Bethel Material Research Plasma processing system with a new inductive antenna and hybrid coupling of electronagnetic power
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
JP3437961B2 (ja) * 2000-05-26 2003-08-18 東京エレクトロン株式会社 基板のプラズマ処理中に基板のバイアスを監視するための改善された装置および方法
JP2001358129A (ja) * 2000-06-16 2001-12-26 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP4239445B2 (ja) 2001-07-31 2009-03-18 パナソニック株式会社 プラズマ処理方法
JP4135541B2 (ja) * 2003-03-26 2008-08-20 ソニー株式会社 プラズマ表面処理方法
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03263827A (ja) * 1990-03-14 1991-11-25 Yasuhiro Horiike デジタルエツチング装置
JPH04279044A (ja) * 1991-01-09 1992-10-05 Sumitomo Metal Ind Ltd 試料保持装置
JP2002289584A (ja) * 2001-03-26 2002-10-04 Ebara Corp 表面処理方法
JP2006049817A (ja) * 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015503223A (ja) * 2011-11-15 2015-01-29 ラム リサーチ コーポレーションLam Research Corporation ハイブリッドパルス化プラズマ処理システム
JP2018142711A (ja) * 2011-11-15 2018-09-13 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理システムにおける不活性物優勢パルス化
JP2016004983A (ja) * 2014-06-19 2016-01-12 東京エレクトロン株式会社 絶縁膜をエッチングする方法
JP2016134623A (ja) * 2015-01-16 2016-07-25 エーエスエム アイピー ホールディング ビー.ブイ. プラスマエンハンスト原子層エッチングの方法
JP2018510470A (ja) * 2015-03-17 2018-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated イオン−イオンプラズマ原子層エッチングプロセス及びリアクタ
US11101113B2 (en) 2015-03-17 2021-08-24 Applied Materials, Inc. Ion-ion plasma atomic layer etch process
KR20180036646A (ko) * 2015-03-30 2018-04-09 도쿄엘렉트론가부시키가이샤 원자층 에칭 방법
JP2018510515A (ja) * 2015-03-30 2018-04-12 東京エレクトロン株式会社 原子層をエッチングする方法
KR102510737B1 (ko) 2015-03-30 2023-03-15 도쿄엘렉트론가부시키가이샤 원자층 에칭 방법
JP2016208031A (ja) * 2015-04-20 2016-12-08 ラム リサーチ コーポレーションLam Research Corporation Mramスタックをパターニングする乾式プラズマ・エッチング法
JP2018523922A (ja) * 2015-08-07 2018-08-23 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 方向性プラズマ及び反応性ガスを用いて基板を処理する装置及び技術
JP2017157836A (ja) * 2016-03-01 2017-09-07 ラム リサーチ コーポレーションLam Research Corporation プラズマおよび蒸気処理の組み合わせを用いたal2o3の原子層エッチング
JP2020502811A (ja) * 2016-12-19 2020-01-23 ラム リサーチ コーポレーションLam Research Corporation デザイナー原子層エッチング
JP7209155B2 (ja) 2016-12-19 2023-01-20 ラム リサーチ コーポレーション デザイナー原子層エッチング
TWI832325B (zh) * 2016-12-19 2024-02-11 美商蘭姆研究公司 設計者原子層蝕刻
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching
JP7320168B2 (ja) 2016-12-19 2023-08-03 ラム リサーチ コーポレーション デザイナー原子層エッチング
JP2022180585A (ja) * 2016-12-19 2022-12-06 ラム リサーチ コーポレーション デザイナー原子層エッチング
US10665516B2 (en) 2017-03-17 2020-05-26 Hitachi High-Technologies Corporation Etching method and plasma processing apparatus
KR20180106797A (ko) 2017-03-17 2018-10-01 가부시키가이샤 히다치 하이테크놀로지즈 에칭 방법 및 플라스마 처리 장치
JP7293211B2 (ja) 2017-10-06 2023-06-19 ラム リサーチ コーポレーション 高エネルギー原子層エッチング
JP2020536393A (ja) * 2017-10-06 2020-12-10 ラム リサーチ コーポレーションLam Research Corporation 高エネルギー原子層エッチング
JP2019073777A (ja) * 2017-10-17 2019-05-16 岩谷産業株式会社 混合ガスおよびそれを用いた溶射皮膜の形成方法
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US11462416B2 (en) 2019-02-04 2022-10-04 Hitachi High-Tech Corporation Plasma processing method and plasma processing apparatus
WO2022244638A1 (ja) * 2021-05-19 2022-11-24 東京エレクトロン株式会社 プラズマ処理装置及びrfシステム

Also Published As

Publication number Publication date
JP5826746B2 (ja) 2015-12-02
KR101392838B1 (ko) 2014-05-15
TW201140687A (en) 2011-11-16
WO2011081921A2 (en) 2011-07-07
CN102934208B (zh) 2017-02-08
US20110139748A1 (en) 2011-06-16
WO2011081921A3 (en) 2013-01-03
TWI567819B (zh) 2017-01-21
CN102934208A (zh) 2013-02-13
US20180226227A1 (en) 2018-08-09
JP2014007432A (ja) 2014-01-16
US10515782B2 (en) 2019-12-24
JP5938381B2 (ja) 2016-06-22
KR20120024544A (ko) 2012-03-14

Similar Documents

Publication Publication Date Title
JP5938381B2 (ja) パルスプラズマを用いた原子層エッチング
US20200161092A1 (en) Plasma processing apparatus
TWI541893B (zh) Process apparatus and method for plasma etching process
JP4143684B2 (ja) プラズマドーピング方法及び装置
TWI469215B (zh) Plasma processing method
US10008369B2 (en) Cyclical plasma etching
Hayashi et al. Role of reaction products in F-production in low-pressure, high-density CF4 plasmas
JP3142408B2 (ja) プラズマ処理装置
JP6019203B2 (ja) プラズマ処理装置
Ichihashi et al. Effects of thermal annealing for restoration of UV irradiation damage during plasma etching processes
JP5846851B2 (ja) プラズマ処理方法
Liu et al. Time-resolved radial uniformity of pulse-modulated inductively coupled O2/Ar plasmas
Sridhar Photo-Assisted Etching in Halogen Containing Plasmas
Okamoto et al. Characteristics of Pulsed Surface Wave Oxygen Plasma Generated by Ring-Slot Antenna
Quandt et al. Electron energy dynamics in a RF discharge with trapezoidal driving voltage: comparison of experiment and particle-in-cell Monte Carlo collision simulation
Nakano et al. Optical diagnostics of a pulsed inductively coupled nitrogen plasma
Plano Optical emission spectroscopy of diamond-producing plasmas
Anthony et al. The Use of Langmuir Probe Measurements to Study Reaction Kinetics in Remote Plasma-Enhanced Chemical Vapor Deposition of Silicon
Zhu Advanced Control of Ion and Electron Energy Distributions and Investigation of in-situ Photo-Assisted Etching

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120720

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130415

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130423

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130723

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130730

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130823

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130830

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130924

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131001

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131018

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140618

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140820

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20141010

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150819

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150821

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151014

R150 Certificate of patent or registration of utility model

Ref document number: 5826746

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250