KR20180036646A - 원자층 에칭 방법 - Google Patents

원자층 에칭 방법 Download PDF

Info

Publication number
KR20180036646A
KR20180036646A KR1020177030041A KR20177030041A KR20180036646A KR 20180036646 A KR20180036646 A KR 20180036646A KR 1020177030041 A KR1020177030041 A KR 1020177030041A KR 20177030041 A KR20177030041 A KR 20177030041A KR 20180036646 A KR20180036646 A KR 20180036646A
Authority
KR
South Korea
Prior art keywords
substrate
etchant
plasma processing
processing system
power
Prior art date
Application number
KR1020177030041A
Other languages
English (en)
Other versions
KR102510737B1 (ko
Inventor
알록 란잔
소남 셰르파
밍메이 왕
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180036646A publication Critical patent/KR20180036646A/ko
Application granted granted Critical
Publication of KR102510737B1 publication Critical patent/KR102510737B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 상의 층을 에칭하는 방법은, 에칭 공정을 용이하게 하도록 구성된 플라즈마 처리 시스템 내에 기판을 배치하는 단계(112)와, 기판의 노출면의 단층을 에칭하기 위해 원자층 에칭 공정을 수행하는 단계(114-117)와, 목표 깊이에 도달할 때까지 원자층 에칭 공정 사이클을 반복하는 단계(120)를 포함한다. 각각의 공정 사이클에서는 노출면으로부터 단층을 에칭한다. 원자층 에칭 공정 사이클은, 에칭제를 도입하고 이와 동시에, 전자기 전력(electromagnetic power)을, 기판의 총 이온 플럭스보다 높은 기판에서의 에칭제 라디칼 플럭스를 달성하도록 목표 설정된 전력 레벨 ― 이 전력 레벨은 50 W 이하임 ― 에서 플라즈마 처리 시스템에 결합시킴으로써, 기판의 노출면 상에 에칭제를 포함한 흡착 단층을 형성하는 단계(114)와, 임의의 과량 에칭제를 제거하기 위해 플라즈마 처리 시스템을 퍼징하는 단계(115)와, 흡착 단층을 가스 이온에 노출하여 에칭제의 반응을 촉진시킴으로써 흡착 단층을 탈착시키는 단계(116)와, 플라즈마 처리 시스템을 다시 퍼징하는 단계(117)를 순차적으로 포함한다.

Description

원자층 에칭 방법
<관련 출원과의 교차 참조>
본원은 2015년 3월 30일에 출원한 미국 임시 특허출원번호 제62/139,795호에 관한 것으로서 이에 대해 우선권을 주장하며, 이 우선권 주장 출원의 전체 내용은 참조에 의해 본 명세서에 포함된다.
<발명의 분야>
본 발명은 층 패터닝 방법에 관한 것이며, 더 구체적으로는 층 에칭 방법에 관한 것이다.
반도체 디바이스의 생산에 있어서 비용 및 성능에서 경쟁력을 유지하기 위한 필요성은 집적 회로의 디바이스의 밀도를 계속적으로 증가시키고자 하는 요구를 높인다. 그리고, 반도체 집적 회로의 소형화에 따라 더 높은 집적도를 달성하기 위해, 반도체 기판 상에 형성된 회로 패턴의 스케일을 축소하는데 강력한(robust) 방법론이 요구되고 있다. 이러한 경향 및 요건은 회로 패턴을 어느 한 층으로부터 다른 층으로 전사할 수 있는 능력에 대해 계속 증가하는 과제를 부여한다.
포토리소그래피는 마스크 상의 기하학적 형상과 패턴을 반도체 웨이퍼의 표면에 전사함으로써 반도체 집적 회로를 제조하는데 이용되는 중심 기술이다. 원리상, 감광 재료가 현상액에서 그 용해성이 바뀌도록 패터닝된 광에 노광된다. 촬상 및 현상된 후, 현상용 화학반응(developing chemistry)으로 용해 가능한 감광 재료의 부분이 제거되고, 회로 패턴은 남는다.
더욱이, 광학 리소그래피를 발전시키고, 또한 그 결함을 수용하기 위해서, 대안적인 패터닝 전략을 수립하여 30 nm 미만(sub-30 nm)의 기술 노드를 대비해 반도체 제조 산업을 준비하도록 계속적인 발달이 이루어지고 있다. 광학 리소그래피(193i)는 다중 패터닝, EUV(Extreme Ultraviolet) 리소그래피 및 DSA(Direct Self Assembly) 패터닝과 함께 적극적인 패터닝(aggressive patterning)에 대한 증가하는 요구를 충족시키는 것으로서 평가되고 있는 유망한 후보 중 일부로 간주된다.
반도체 집적 회로의 소형화에 유리하다고 입증될 수 있는 또 다른 예시적인 리소그래피 기술은 원자층 에칭(ALE, Atomic Layer Etching)이다. ALE에서는, 에칭될 기판이 상부 원자층에만 영향을 주는 에칭제로 화학 처리된다. 과량 에칭제를 제거하기 위한 퍼징 단계(purging step) 후에, 에칭 단계에서는 화학 처리된 상부 원자층을 제거한다. 한번에 하나의 단층(monolayer)을 제거하여 에칭된 피처의 원하는 깊이에 도달할 때까지 화학적 개질 및 에칭의 사이클이 반복된다. 예시적인 공정은 실리콘 웨이퍼 상부층을 염소와 반응시킨 다음, 염소화된 상부층의 아르곤 플라즈마를 제거하는 것이다.
30 nm 미만의 피처를 전사하기 위해서는 광학 및 EUV 리소그래피를 비롯한 고급 패터닝 기술과 함께, 고도의 선택적인 에칭 기술이 필요하다. 또한, 무엇보다도 프로파일 제어, 이방성, 및 속도에 대한 요건을 충족시키는 고급 에칭 방식도 필요하다.
본 발명의 실시형태는 층 패터닝 방법에 관한 것이며, 더 구체적으로는 층 에칭 방법에 관한 것이다.
일 실시형태에 따르면, 기판 상의 층을 에칭하는 방법이 기술된다. 기판 상의 층을 에칭하는 방법은, 에칭 공정을 용이하게 하도록 구성된 플라즈마 처리 시스템 내에 기판을 배치하는 단계와, 기판의 노출면의 단층(monolayer)을 에칭하기 위해 원자층 에칭 공정 사이클(atomic layer etching process cycle)을 수행하는 단계와, 목표 깊이에 도달할 때까지 원자층 에칭 공정 사이클을 반복하는 단계를 포함한다. 각각의 공정 사이클에서는 노출면으로부터 단층(monolayer)을 에칭한다. 원자층 에칭 공정 사이클은, 에칭제를 도입하고 이와 동시에, 전자기 전력(electromagnetic power)을, 기판에서의 총 이온 플럭스보다 높은 기판에서의 에칭제 라디칼 플럭스를 달성하는 것을 목표로 한 전력 레벨 ― 이 전력 레벨은 50 W 이하임 ― 에서 플라즈마 처리 시스템에 결합시킴으로써, 기판의 노출면 상에 에칭제를 포함한 흡착 단층을 형성하는 단계와, 임의의 과량 에칭제를 제거하기 위해 플라즈마 처리 시스템을 퍼징하는 단계와, 흡착 단층을 가스 이온에 노출하여 에칭제의 반응을 촉진시킴으로써 흡착 단층을 탈착시키는 단계와, 플라즈마 처리 시스템을 다시 퍼징하는 단계를 순차적으로 포함한다.
본 발명의 추가 실시형태에 있어서, 기판 에칭 방법은 에칭 공정을 용이하게 하도록 구성된 플라즈마 처리 시스템 내에 기판을 배치하는 단계와, 기판의 노출면을 공정 사이클당 기판 재료의 1 단층씩 에칭하는 단계를 포함한다. 각각의 공정 사이클은 흡착 단계와 탈착 단계를 교대로 수행하는 단계를 포함한다. 흡착 단계는 노출면에서의 총 이온 플럭스보다 높은 노출면에서의 에칭제 라디칼 플럭스를 달성하기 위해 50 W 이하의 전력 레벨에서 전자기 전력을 플라즈마 처리 시스템에 결합시키면서 기판의 노출면 상에 에칭제를 흡착시키는 것을 포함하고, 탈착 단계는 기판 재료의 단층과 흡착된 에칭제 간의 반응을 촉진시켜 반응 생성물을 탈착시키는 것을 포함한다.
첨부 도면에 있어서,
도 1은 일 실시형태에 따라 기판 상의 층을 에칭하는 방법을 도시하는 흐름도를 제공하며,
도 2a와 도 2b는 기판 상의 층을 에칭하는 방법을 도시하며,
도 3a 내지 도 3c는 일 실시형태에 따라 기판 상의 층을 에칭하기 위한 예시적인 데이터를 제공하며,
도 4a 내지 도 4f는 다양한 실시형태에 따라 기판 상의 층을 에칭하기 위한 예시적인 데이터를 제공하며,
도 5는 일 실시형태에 따른 플라즈마 처리 시스템의 개략도를 보여주며,
도 6은 다른 실시형태에 따른 플라즈마 처리 시스템의 개략도를 보여주며,
도 7은 다른 실시형태에 따른 플라즈마 처리 시스템의 개략도를 보여주며,
도 8은 다른 실시형태에 따른 플라즈마 처리 시스템의 개략도를 보여주며,
도 9는 다른 실시형태에 따른 플라즈마 처리 시스템의 개략도를 보여주며,
도 10은 다른 실시형태에 따른 플라즈마 처리 시스템의 개략도를 보여주며,
도 11은 다른 실시형태에 따른 플라즈마 처리 시스템의 개략도를 보여준다.
이하의 설명에 있어서, 제한이 아닌 예시의 목적을 위해, 처리 시스템의 특정 지오메트리(geometry) 및 거기에 사용되는 다양한 구성요소와 공정의 설명과 같은 특정 상세를 개시한다. 그러나, 본 발명은 이들 특정 상세에서 벗어난 다른 실시형태로도 실시될 수 있음이 이해되어야 한다.
마찬가지로, 설명의 편의상, 본 발명의 면밀한 이해를 제공하기 위해 특정 수, 재료, 및 구성요소를 설명한다. 그렇지만, 본 발명은 특정 세부사항 없이도 실시될 수 있다. 또한, 도면에 나타내는 다양한 실시형태들은 예시적인 것일 뿐, 반드시 축적에 따라 도시되지 않은 것임은 물론이다.
다양한 동작들에 대해, 본 발명을 이해하는데 있어서 가장 유용한 방식으로 다수의 개별 동작들로서 순서대로 설명될 것이다. 그러나, 설명의 순서는 이들 동작들이 반드시 순서에 종속되는 것을 암시하도록 해석되어서는 안된다. 특히, 이들 동작은 반드시 제시 순서로 수행될 필요가 없다. 설명하는 동작들은 설명하는 실시형태와는 상이한 순서로 수행될 수도 있다. 다양한 추가 동작들이 수행될 수도 있고/있거나, 설명하는 동작들이 추가 실시형태에서는 생략될 수도 있다.
본 명세서에서 사용되는 "방사선 감응 재료(radiation sensitive material)"는 포토레지스트 등의 감광성 재료를 포함하나, 반드시 이것에 제한되지는 않는다.
본 명세서에서 사용되는 "기판(substrate)"은 일반적으로 본 발명에 따라 처리되는 대상(object)을 지칭한다. 기판은 디바이스, 구체적으로 반도체 또는 기타 전자 디바이스의 임의의 재료의 부분 또는 구조를 포함할 수 있으며, 예컨대 박막 등의 베이스 기판 구조 상에 있는 또는 그 위를 덮는 반도체 웨이퍼 또는 층과 같은 베이스 기판 구조일 수 있다. 기판은 반도성 재료의 층을 포함하는, 통상의 실리콘 기판 또는 기타 벌크 기판일 수 있다. 본 명세서에서 사용되는 용어 "벌크 기판(bulk substrate)"은 실리콘 웨이퍼뿐만 아니라, "SOS(silicon-on-sapphire)" 기판과 "SOG(silicon-on-glass)" 기판 등의 "SOI(silicon-on-insulator)" 기판, 베이스 반도체 파운데이션 상의 실리콘 에피택셜층, 및 실리콘-게르마늄, 게르마늄, 갈륨 비화물, 갈륨 질화물, 및 인듐 인화물 등의 기타 반도체 또는 광전 재료를 의미하며 포함한다. 기판은 도핑되거나 도핑되지 않을 수 있다. 이에, 기판은 임의의 특정 베이스 구조에, 하부층 또는 상부층에, 패터닝 또는 비패터닝되는 것에 한정되는 것으로 의도되지 않고, 오히려 임의의 그러한 층 또는 베이스 구조, 및 층 및/또는 베이스 구조의 임의의 조합을 포함하는 것으로 간주된다. 이하의 설명은 특정 타입의 기판을 언급하지만, 이것은 예시 목적일 뿐 제한을 위한 것이 아니다.
앞에서 주목한 바와 같이, 30 nm 미만의 기술 노드에서의 적극적인 패터닝에 대한 요구사항을 충족시키고 과제를 해결하기 위해서는 고급 방법론이 필요하다. 또한 주목할 수 있듯이, 이들 방법론은 에칭 선택도, 속도 및 프로파일 제어에 관한 문제로서 나타나는 고유한 난제들을 제시한다. 고도로 선택적인 에칭 공정과 패터닝 방식(patterning scheme)을 성공적으로 통합할 수 있는 능력이 강력한 패턴 전사에 가장 중요하다.
일례로서, 회로 패턴이 처음에 형성되면, 패터닝된 재료는 반도체 기판의 일부 영역을 마스킹하는 보호층으로서 기능하고, 다른 영역들은 플라즈마 에칭 공정 등의 건식 에칭 공정을 이용하여 하부층에 회로 패턴의 전사를 가능하게 하도록 노출된다. 패터닝된 재료는 무엇보다도 광학 리소그래피, 기계적으로 임프린트된 패터닝된 층, 또는 직접 자기 조립된 층을 사용하여 패터닝된 감광성 재료일 수 있다. 최초 패터닝된 층 내의 피처를 더 얇게 형성하기 위해서는, 2개층 마스크 또는 3개층 마스크 등의 다층 방식(multi-layer scheme)이 구현될 수 있다. 제2층 또는 제3층을 포함하여, 최상위 패터닝층은 후속 건식 에칭 공정(들)을 견딜 수 있도록 관례상 선택된 두께보다 더 얇을 수 있다. 따라서, 후속의 건식 에칭 기술에 부과되는 요구사항이 가중된다.
플라즈마 에칭 공정에서는, 보호층을 최소한으로 제거하면서 하부층을 선택적으로 제거하는 에칭 화학반응(etching chemistry)에 반도체 기판이 노출된다. 이 에칭 화학반응은 보호층과의 불리한 반응을 최소화하면서 하부층과 유리하게 반응할 수 있는 원자/분자 성분을 갖는 이온화 가능한 해리성 가스 혼합물로부터 유래한다. 플라즈마의 존재 하에서, 에칭 화학반응은 그것의 원자/분자 성분과 에너지 전자와의 상호 작용, 예컨대 충돌을 통해 형성되는데, 일부 충돌은 해리성 충돌이고, 그 외의 것은 예컨대 충돌을 이온화한다. 복잡한 메커니즘을 통해, 플라즈마는 무엇보다도 에칭 선택도, 에칭 속도 및 프로파일을 비롯한 몇몇 상호 관련된 에칭 메트릭에 대한 용인 가능한 값을 달성하도록 조작된다. 앞에서 주목한 바와 같이, 계속 감소하는 패턴 스케일로, 다른 패턴 메트릭(예컨대, 거칠기, 결함 등)을 만족스럽게 충족시키면서 하나의 재료를 다른 재료에 대해 선택적으로 제거할 수 있는 능력은 강력한 패턴 전사에만 더욱 중요해지고 있다. 특히, 후속 건식 에칭 공정의 에칭 선택도는 하부층으로의 완전한 패턴 전사를 가능하게 하기 위해 리소그래픽 층을 보존해야 한다. 또한, 에칭 선택도도 큰 관심사이지만, 패턴 무결성(예컨대, 거칠기, 결함 등)도 유지되어야 하며, 보다 바람직하게는 개선되어야 한다.
이하, 여러 도면에 걸쳐서 동일한 도면부호가 같거나 대응하는 부분을 가리키는 도면을 참조하면, 도 1, 도 2a, 및 도 2b는 일 실시형태에 따라 기판 상의 층을 에칭하는 방법을 나타내고 있다. 이 방법은 도 2a와 도 2b에서 그림으로 도시되고, 도 1에서는 흐름도(100)로 제시된다. 도 1에 나타내는 바와 같이, 흐름도(100)는 에칭 공정을 용이하게 하도록 구성된 플라즈마 처리 시스템 내에 기판을 배치하는 112에서 시작된다.
기판은 에칭 또는 패터닝될 층을 갖는 패터닝된 층을 포함할 수 있다. 패터닝된 층은 하나 이상의 추가 층을 덮는 오픈 피처 패턴(open feature pattern)을 규정할 수 있다. 기판은 디바이스층을 더 포함한다. 디바이스층은 패턴이 전사되는 기판 상에 임의의 박막 또는 구조를 포함할 수 있다. 예를 들어, 디바이스층은 실리콘 함유 반사방지 코팅("SiARC", silicon-containing antireflective coating)을 포함할 수도 있다.
기판은 벌크 실리콘 기판, 단결정 실리콘(도핑 또는 무도핑) 기판, SOI 기판, 또는, 예컨대 Si, SiC, SiGe, SiGeC, Ge, GaAs, InAs, InP과, III/V나 II/VI 화합물 반도체, 또는 이들의 임의의 조합을 함유하는 기타 반도체 기판을 포함할 수 있다(II, III, V, VI족은 원소의 주기율표에서의 고전적 또는 구식 IUPAC 표기를 나타내며, 개정된 또는 새로운 IUPAC 표기에 따르면, 이들 족은 그룹 2, 13, 15, 16으로 각각 칭해진다). 기판은 임의의 사이즈에 속할 수 있으며, 예컨대 200 mm(밀리미터) 기판, 300 mm 기판, 450 mm 기판 또는 심지어 더 큰 기판일 수 있다. 디바이스층은 패턴이 전사될 수 있는 임의의 박막 또는 디바이스 구조를 포함할 수 있다.
114에서는, 예컨대 제1 펄스 기간 동안 에칭제를 도입함으로써, 에칭제를 포함하는 흡착 단층(adsorption monolayer)이 기판의 노출면 상에 형성된다. 에칭제는 무엇보다도 할로겐(예컨대, Cl2, F2, Br2), 할라이드(HBr), 할로메탄, 할로실란, 플루오로카본, 또는 하이드로플루오로카본 등의 할로겐 함유 가스를 포함할 수 있다(도 2a, 도 2b 참조). 예를 들어, 에칭제는 Cl2, Br2, F2, HBr, BCl3, SF6, NF3, CxFy 형태―여기서, x 및 y는 0보다 큰 실수―의 플루오로카본 화합물, CxFyHz 형태―여기서, x, y, 및 z는 0보다 큰 실수―의 플루오로하이드로카본 화합물, 또는 이들 중 2개 이상의 임의의 조합을 포함할 수 있다.
115에서는, 임의의 과량 에칭제를 제거하기 위해 플라즈마 처리 시스템이 퍼징된다.
116에서는, 제2 펄스 기간 동안 흡착 단층을 가스 이온, 즉 플라즈마에 노출하여 예컨대 에칭제의 반응을 촉진시킴으로써 흡착 단층이 탈착된다. 가스 이온용 소스 가스는 예컨대 Ar, Ne, 및/또는 He 등의 희가스(noble gas), 또는 N, O, C, H 등의 기타 원소를 포함할 수 있다. 제2 펄스 기간은 3초 이하일 수 있다. 예를 들면, 제2 펄스 기간은 2초 또는 1초, 또는 이들의 임의의 분수 부분일 수도 있다.
소스 가스의 플라즈마를 발화(strike)하여 유지하기 위해 플라즈마 처리 시스템에 소스 가스를 흘려 보내고, 전자기 전력을, 기판이 놓여 있는 기판 홀더에 결합되는 바이어스 전력으로서, 그리고 기판 홀더와 대향하여 마주보는 전극에 대한 소스 전력으로서 플라즈마 처리 시스템에 결합시킴으로써 가스 이온이 형성될 수 있다. 가스 이온은 노출면에서의 기판 재료의 원자와 흡착된 원자 간의 반응을 촉진시키기에 충분한 에너지로 흡착 단층을 포함한 기판의 노출면에 충돌하여 반응 생성물을 탈착시킴으로써 단층을 제거한다. 제한이 아니라 예로서, 바이어스 전력은 150 W의 정도일 수 있고, 소스 전력은 2500 W 정도이다.
117에서, 이 때 다시 한번, 탈착된 흡착 단층을 제거하기 위해 플라즈마 처리 시스템이 퍼징된다.
118에서는, 114에서의 흡착 단층 형성과 동시에, 기판에서의 총 이온 플럭스보다 큰 기판에서의 에칭제 라디칼 플럭스를 달성하도록 목표 설정된 전력 레벨에서 제1 펄스 기간 동안 플라즈마 처리 시스템에 전자기 전력이 결합된다. 전력 레벨은 50 W 이하일 수 있다. 일 실시형태에 있어서, 전력 레벨은 기판에서의 총 이온 플럭스에 대한 에칭제 라디칼 플럭스의 비율을 수치 100을 초과하여 달성하도록 목표 설정된다. 다른 실시형에 있어서, 전력 레벨은 기판에서의 총 이온 플럭스에 대한 에칭제 라디칼 플럭스의 비율을 수치 1000을 초과하여 달성하도록 목표 설정된다.
118에서의 전자기 전력은 기판이 놓여 있는 기판 홀더에 결합되는 바이어스 전력으로서 적어도 부분적으로 플라즈마 처리 시스템에 결합될 수도 있다. 또한, 전자기 전력은 상기 바이어스 전력으로서 독점적으로 인가될 수도 있다. 추가의 전자기 전력이 기판 홀더와 대향하여 마주보는 전극에 대한 소스 전력으로서 플라즈마 처리 시스템에 결합될 수도 있다. 대안으로, 전자기 전력의 유일한 소스가 대향 전극 상의 소스 전력일 수도 있다. 114에서의 흡착 단층의 형성 중에 118에서의 전자기 전력은, 바이어스 전력으로서, 소스 전력으로서 또는 둘 다로서 인가되든지 간에, 116에서의 단층의 탈착 동안에 가스 이온을 형성하기 위해 인가되는 전력보다 상당히 작다.
120에서는 도 2a와 도 2b에 도시하는 바와 같이, 114에서의 흡착 단층의 형성과 116에서의 흡착 단층의 탈착을, 목표 깊이에 도달할 때까지, 교대로 반복함으로써, 공정 사이클당 1 반응층, 또는 1 단층씩 기판의 노출면이 에칭된다. 본 발명의 실시형태에 따르면, 114에서의 흡착 단계는 기판에서의 총 이온 플럭스보다 큰 기판에서의 에칭제 라디칼 플럭스를 달성하기 위한 저(low) EM 전력을 포함하는 반면, 116에서의 탈착 단계는 반응을 촉진시키고 반응 생성물을 탈착시키기에 충분한 이온 에너지에 기판을 노출하도록 플라즈마를 형성하기 위한 고(high) EM 전력을 포함한다. 흡착과 탈착을 교대로 행하는 단계는 에칭제 가스와 이온의 소스 가스 사이의 펄스형 또는 교호형 가스 플로우를 포함할 수 있다. 예를 들어, 도 2a 및 도 2b의 도면에서, Ar 플로우는 흡착 단계 동안 턴오프될 수 있고, 탈착 단계 동안에는 도시되는 연속 플로우(continuous flow) 대신에 펄싱될 수 있다. 대안적으로, 도시된 바와 같이, Ar 가스는 공정 사이클 전체에 걸쳐 연속적으로 플로잉되어 퍼지 가스로서, 그리고 탈착 단계에서는 소스 가스로서 역할할 수도 있다. 연속 플로우는 동일한 유속일 수 있고, 또는 흡착 동안에는 더 낮은 유속 및 탈착 동안에는 더 높은 유속을 포함할 수도 있다. 연속 플로우의 경우, 소스 가스는 흡착 단계 동안에 기판 및 에칭제에 대해 희가스와 같이 비활성이어야 하며, 공정 사이클의 탈착 단계에서 플라즈마를 형성하기 위해 탈착 반응은 소스 및 바이어스 전력을 충분히 높은 레벨에서 공급할 때에만 촉진된다.
제한이 아니라 예시로만, 총 공정 사이클의 수는 1 사이클 내지 100 사이클, 또는 10 사이클 내지 90 사이클, 또는 20 사이클 내지 80 사이클, 또는 30 사이클 내지 70 사이클의 범위일 수 있다. 일부 실시형태에서, 에칭 사이클의 총 수는 50 사이클, 또는 40 사이클, 또는 30 사이클일 수도 또는 그 사이의 임의의 전체 사이클 수일 수도 있다. 매 사이클이 기판으로부터 재료의 단층을 제거함에 따라 반복되는 사이클의 수는 표면이 에칭되는 목표 깊이에 의해 결정된다. 기판이 에칭되는 속도, 즉 에칭 속도가 결정될 수 있다. 소정의 실시형태에 있어서, 에칭 속도는 1Å/s보다 크다. 예를 들어, 에칭 속도는 1.1 Å/s, 1.2 Å/s, 1.3 Å/s, 1.4 Å/s, 1.5 Å/s, 또는 그 이상일 수도 있다. 적어도 일 실시형태에 있어서, 에칭 속도는 1.3 Å/s를 초과한다.
인가된 EM 전력이 저 전력 조건(예컨대, 50 W, 40 W, 30 W, 20 W, 10 W 등)이면, 에칭제의 흡착이 매우 빠르다. 이론에 구애되는 일 없이, 본 발명자들은 이 공정 조건 하에서는 라디칼 플럭스가 이온 플럭스보다 크고(도 3a 참조), 흡착은 부분적으로 기판 표면에서의 이온 플럭스에 대한 이 증가한 라디칼 플럭스 때문에 높은 속도로 일어날 수 있음을 확인하였다. 기판 표면에서의 이온 플럭스에 대한 라디칼 플럭스의 비율은 100보다 클 수도 심지어 1000보다 클 수도 있다. 또한, 공정 조건은 저 이온 에너지(예컨대, 20 eV 미만) 및 비교적 좁은 이온 에너지 각도 분포(예컨대, 5도 미만)를 생성할 수 있다(도 3b, 도 3c 참조).
이 공정을 통해, 플라즈마 처리 시스템에 진공이 적용될 수있다. 예를 들어, 흡착 단층의 형성 및/또는 흡착 단층의 탈착 동안 진공이 적용될 수도 있다. 일부 실시형태에서는, 플라즈마 처리 시스템 내의 압력이 100 mTorr 이하일 수도 있다. 예를 들어, 플라즈마 처리 시스템 내의 압력은 60 mTorr 이하일 수도 있다.
에칭제가 할로겐 함유 재료를 포함할 경우, 에칭될 표면의 할로겐화가 신속하게 일어나는데, 즉 2초 미만 또는 1초 미만 또는 심지어 0.5초 미만으로 일어난다(도 4a 내지 도 4e 참조). 물론, 할로겐화는 이들 열거한 시간 값의 임의의 분수 부분에서 발생할 수도 있다. 흡착 및 탈착 양 사이클이 수행될 경우의 에칭량은 흡착 사이클만 단독으로 또는 탈착 사이클만 단독으로 수행될 때의 에칭량보다 실질적으로 크다(도 4f 참조).
표 1은 예시적인 공정의 파라미터를 제공하며, 이 공정의 결과는 도 4e에 제시된다. 압력, 온도, 유속 및 조성, 소스 및 바이어스 전력, RDC 등은 다른 예에서는 모두 달라질 수 있다. RDC 값은 에칭제 및 반응 가스의 도입을 위한 가스 플로우 분배 파라미터를 지칭한다. 일부 실시형태에서는, 가스 분배 시스템이 중심 가스 분배 구역 및 가장자리 가스 분배 구역을 포함할 수 있다. RDC 파라미터의 값은 중심 및 가장자리 가스 분배 구역에 분배된 가스 플로우의 상대적 양을 나타낸다. RDC = 50이면, 가장자리 가스 분배 구역에 결합되는 가스 플로우는 중심 가스 분배 구역에 결합되는 가스 플로우와 동일하고, RDC = 5이면, 가스 플로우의 95%가 중심 가스 분배 구역에 결합된다. RDC 값은 본 발명에서 제한되지 않으며, 예컨대 5 (5/95) 내지 20 (20/80) 또는 그 이상으로 변할 수 있다.
[표 1]
Figure pct00001
1 전극 중심에서
2 전극 가장자리에서
3 냉각 시스템 온도
4 흡착/탈착 사이클의 총 수
5 변수(예컨대, 도 4e 참조)
전술한 바와 같이, 그리고 표 1에 제시된 예에 나타낸 바와 같이, 라디칼 플러스가 이온 플럭스보다 크게 상승할 때, 할로겐화("흡착")가 신속하게, 즉 2초 미만으로 일어난다. 임의의 특정 이론에 구애되는 일 없이, 표면은 이온 플럭스에 대한 Cl-라디칼 플럭스가 증가함에 따라 상승하는 속도로 SiCl(Si를 에칭할 경우)로 포화되는 것으로 여겨진다. 또한, 도 4e에 제시된 데이터는, 탈착 사이클이 일정한 조건 하에서는 안정 상태(plateau)라서, 계속 탈착 가스가 흐를지라도 일정 시간 후에는 최소한의 추가 에칭이 발생할 수 있음을 보여준다. 예를 들어, 도 4e에 제시된 결과에서는, 에칭량이 2초의 탈착 시간 후에 안정화된다. 이러한 결과는 탈착 가스의 낭비를 최소화하고 방법에 필요한 시간을 최적화할 수 있음을 시사한다. 다시 말해, 탈착 사이클은 자기 제한적이다.
전술한 다양한 실시형태에 따라 기판 상의 층을 에칭하는 방법은 도 5 내지 도 11에 도시되며 후술하는 플라즈마 처리 시스템 중 어느 하나에서 수행될 수 있다. 그러나, 설명하는 방법들은 이 예시적인 제시에 의해 범위가 한정되지 않는다.
도 5에 도시한 일 실시형태에 따르면, 전술한 공정 조건을 수행하도록 구성된 플라즈마 처리 시스템(500)은 플라즈마 처리 챔버(510)와, 처리 대상 기판(525)이 부착되는 기판 홀더(520)와, 진공 펌핑 시스템(550)을 포함한다. 기판(525)은 반도체 기판, 웨이퍼, 플랫 패널 디스플레이, 또는 액정 디스플레이일 수 있다. 플라즈마 처리 챔버(510)는 기판(525)의 표면의 근방에서 플라즈마 처리 영역(545) 내에서의 플라즈마 생성을 용이하게 하도록 구성될 수 있다. 공정 가스의 이온화 가능 가스 또는 혼합물이 가스 분배 시스템(540)을 통해 도입된다. 주어진 공정 가스의 플로우에 대해, 진공 펌핑 시스템(550)을 이용해 공정 압력이 조정된다. 미리 결정된 재료 공정 특유의 재료를 생성하고, 그리고/또는 기판(525)의 노출면으로부터 재료의 제거를 돕기 위해 플라즈마가 이용될 수 있다. 플라즈마 처리 시스템(500)은 200 mm 기판, 300 mm 기판, 또는 그 이상의 임의의 원하는 사이즈의 기판을 처리하도록 구성될 수 있다.
기판(525)은 기계적 클램핑 시스템 또는 전기적 클램핑 시스템(예컨대, 정전 클램핑 시스템) 등의 클램핑 시스템(528)을 통해 기판 홀더(520)에 부착될 수 있다. 또한, 기판 홀더(520)는 기판 홀더(520) 및 기판(525)의 온도를 조정 및/또는 제어하도록 구성되는 가열 시스템(도시 생략) 또는 냉각 시스템(도시 생략)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은 냉각시 기판 홀더(520)로부터의 열을 받아서 열을 열 교환 시스템(도시 생략)에 전달하거나, 가열시 열 교환 시스템으로부터의 열을 기판 홀더(520)에 전달하는 열 전달 유체의 재순환 플로우를 포함할 수 있다. 다른 실시형태에서는, 저항성 가열 소자 또는 열-전기 히터/쿨러 등의 가열/냉각 소자가 기판 홀더(520)뿐만 아니라 플라즈마 처리 챔버(510)의 챔버 벽 및 플라즈마 처리 시스템(500) 내의 기타 구성요소에 포함될 수 있다.
또한, 열 전달 가스는 기판(525)과 기판 홀더(520) 사이에서 가스-갭 열 전도성을 향상시키기 위해 후면 가스 공급 시스템(526)을 통해 기판(525)의 후면으로 전달될 수 있다. 이러한 시스템은 승온 또는 감온에서 기판의 온도 제어가 요구될 때 이용될 수 있다. 예를 들어, 후면 가스 공급 시스템은 헬륨 가스-갭 압력이 기판(525)의 중심과 가장자리 사이에서 독립적으로 변할 수 있는 2-존(tow-zone) 가스 분배 시스템을 포함할 수 있다.
도 5에 도시하는 실시형태에서는, 기판 홀더(520)에 포함될 수 있는 전극(522)을 통해 RF 전력이 플라즈마 처리 영역(545) 내의 처리용 플라즈마에 결합된다. 예를 들어, 기판 홀더(520)는 RF 발생기(530)로부터 선택적인 임피던스 매칭 네트워크(532)를 통해 기판 홀더(520)로의 RF 전력의 전송을 통해 RF 전압에서 전기적으로 바이어스될 수 있다. RF 바이어스는 전자를 가열하여 플라즈마를 형성하고 유지하는 역할을 할 수 있다. 이 구성에서, 시스템은 반응 이온 에칭(RIE, reactive ion etch) 반응기로서 동작할 수 있으며, 여기에서 챔버 및 상부 가스 주입 전극은 접지면으로서 작용한다. RF 바이어스의 통상 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위일 수 있다. 플라즈마 처리를 위한 다양한 RF 시스템이 알려져 있으므로 더 이상의 설명은 생략한다.
또한, RF 전압에서의 전극(522)의 전기적 바이어스는 펄스형 바이어스 신호 컨트롤러(531)를 사용하여 펄싱될 수 있다. RF 발생기(530)로부터 출력된 RF 전력은 예컨대 오프 상태와 온 상태 사이에서 펄싱될 수 있다.
대안으로, RF 전력은 다중 주파수로 기판 홀더 전극에 인가된다. 또한, 임피던스 매칭 네트워크(532)는 반사 전력을 감소시킴으로써 플라즈마 처리 챔버(510)에서의 플라즈마로의 RF 전력의 전달을 향상시킬 수 있다. L타입, π타입, T타입 등의 다양한 매칭 네트워크 토폴로지 및 자동 제어 방법이 공지되어 있으며 개시된 시스템과 함께 사용될 수 있다.
가스 분배 시스템(540)은 공정 가스들의 혼합물을 도입하기 위한 샤워 헤드 설계를 포함할 수 있다. 대안적으로, 가스 분배 시스템(540)은 공정 가스들의 혼합물을 도입하고 기판(525) 위에서의 이 공정 가스들의 혼합물의 분포를 조정하기 위한 멀티존(multi-zone) 샤워 헤드 설계를 포함할 수 있다. 예를 들어, 멀티존 샤워 헤드 설계는 기판(525) 위의 실질적으로 중심 영역에 대한 공정 가스 플로우 또는 조성의 양에 관하여, 기판(525) 위의 실질적으로 주변 영역에 대한 공정 가스 플로우 또는 조성을 조정하도록 구성될 수도 있다.
진공 펌핑 시스템(550)은 초당 약 5000 리터(이상)까지 펌핑 속도를 높일 수 있는 터보 분자 진공 펌프("TMP")와 챔버 압력을 조절(throttling)하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 사용되는 종래의 플라즈마 처리 디바이스에는, 초당 1000 리터 내지 초당 3000 리터 TMP가 채택될 수 있다. TMP는 저압 처리에, 예컨대 약 50 mTorr에 유용하다. 고압 처리(즉, 약 100 mTorr보다 높음)의 경우, 기계식 부스터 펌프 및 건식 러핑 펌프(dry roughing pump)가 이용될 수 있다. 또한, 챔버 압력을 모니터링하는 디바이스(도시 생략)이 플라즈마 처리 챔버(510)에 연결될 수 있다.
컨트롤러(555)는 마이크로프로세서, 메모리, 그리고 플라즈마 처리 시스템(500)으로부터의 출력을 모니터링할 뿐만 아니라 플라즈마 처리 시스템(500)으로의 입력을 전달 및 활성화시키기에 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트를 포함할 수 있다. 또한, 컨트롤러(555)는 기판 가열/냉각 시스템(도시 생략), 후면 가스 공급 시스템(526), 및/또는 정전 클램핑 시스템(528)뿐만 아니라 RF 발생기(530), 펄스형 바이어스 신호 컨트롤러(531), 임피던스 매칭 네트워크(532), 가스 분배 시스템(540), 및 진공 펌핑 시스템(550)에 연결될 수 있고 이들과 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램은 기판(525) 상에, 플라즈마 에칭 공정 등의 플라즈마 사용 공정(plasma assisted process)을 수행하기 위해 공정 레시피에 따라 플라즈마 처리 시스템(500)의 전술한 구성요소에 대한 입력을 활성화시키는데 이용될 수 있다.
컨트롤러(555)는 플라즈마 처리 시스템(500)에 대해 근거리에 또는 원거리에 위치할 수 있다. 예를 들어, 컨트롤러(555)는 직접 접속, 인트라넷, 및/또는 인터넷을 이용하여 플라즈마 처리 시스템(500)과 데이터를 교환할 수 있다. 컨트롤러(555)는 예를 들어 커스터머 사이트(즉, 디바이스 메이커 등)에서 인트라넷에 연결될 수도 있고, 또는 예를 들어, 벤더 사이트(즉, 장비 제조사)에서 인트라넷에 연결될 수 있다. 대안으로 또는 추가적으로, 컨트롤러(555)는 인터넷에 연결될 수 있다. 또한, 다른 컴퓨터(즉, 컨트롤러, 서버 등)가 컨트롤러(555)에 액세스하여 직접 접속, 인트라넷, 및/또는 인터넷을 통해 데이터를 교환할 수 있다.
도 6에 도시하는 실시형태에 있어서, 플라즈마 처리 시스템(600)은 도 5의 실시형태와 유사할 수 있으며, 도 5를 참조하여 기술한 구성요소에 더하여, 잠재적으로 플라즈마 밀도를 증가시키고 그리고/또는 플라즈마 처리 균일성을 향상시키기 위해, 정지형 또는 기계적으로 또는 전기적으로 회전하는 자기장 시스템(660)을 더 포함한다. 또한, 컨트롤러(555)는 회전 속도 및 자기장 강도를 조절하기 위해 자기장 시스템(660)에 연결될 수 있다. 회전 자기장의 설계 및 구현은 공지되어 있으므로 더 이상의 설명은 생략한다.
도 7에 도시한 실시형태에 있어서, 플라즈마 처리 시스템(700)은 도 5 또는 도 6의 실시형태와 유사할 수 있으며, RF 전력이 선택적 임피던스 매칭 네트워크(774)를 통해 RF 발생기(772)로부터 결합될 수 있는 상부 전극(770)을 더 포함할 수 있다. RF 전력을 상부 전극에 인가하기 위한 주파수는 약 0.1 MHz 내지 약 200 MHz의 범위일 수 있다. 또한, 하부 전극에 전력을 인가하기 위한 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위일 수 있다. 또한, 컨트롤러(555)는 상부 전극(770)에의 RF 전력의 인가를 제어하기 위해 RF 발생기(772) 및 임피던스 매칭 네트워크(774)에 연결된다. 상부 전극의 설계 및 구현은 공지되어 있으므로 더 이상의 설명은 생략한다. 상부 전극(770) 및 가스 분배 시스템(540)은 도시된 바와 같이 동일한 챔버 어셈블리 내에서 설계될 수 있다. 대안적으로, 상부 전극(770)은 기판(525) 위의 플라즈마에 결합되는 RF 전력 분포를 조정하기 위한 멀티존 전극 설계를 포함할 수 있다. 예를 들어, 상부 전극(770)은 중심 전극과 가장자리 전극으로 분할될 수 있다. 도 7에 도시된 실시형태는 예컨대 SiARC를 포함하는 디바이스 층을 에칭하기 위해 유리하게 사용될 수도 있다.
도 8에 도시한 실시형태에 있어서, 플라즈마 처리 시스템(800)은 도 7의 실시형태와 유사할 수 있으며, 기판(525)과 대향하는 상부 전극(770)에 연결된 직류(DC) 전원(890)을 더 포함할 수 있다. 상부 전극(770)은 전극판을 포함할 수도 있다. 전극판은 실리콘 함유 전극판을 포함할 수도 있다. 또한, 전극 판은 도핑된 실리콘 전극판을 포함할 수도 있다. DC 전원(890)은 가변 DC 전원을 포함할 수 있다. 또한, DC 전원(890)은 바이폴라 DC 전원을 포함할 수 있다. DC 전원(890)은 DC 전원(890)의 극성, 전류, 전압 또는 온/오프 상태를 모니터링하기, 조정하기 또는 제어하기 중 적어도 하나를 수행하도록 구성된 시스템을 더 포함할 수 있다. 플라즈마가 형성되면, DC 전원(890)은 탄도형 전자빔(ballistic electron beam)의 형성을 용이하게 한다. DC 전원(890)으로부터 RF 전력을 분리시키는 데에 전기 필터(도시되지 않음)가 이용될 수 있다.
예를 들어, DC 전원(890)에 의해 상부 전극(770)에 인가된 DC 전압은 대략 -2000 볼트(V) 내지 대략 1000 V의 범위일 수 있다. 예를 들어, DC 전압의 절대 값은 대략 100 V 이상의 값을 가질 수 있거나, DC 전압의 절대 값은 대략 500 V 이상일 수있 다. 전술한 바와 같이, DC 전압은 음의 극성을 가질 수 있다. 예를 들어, DC 전압은 상부 전극(770)의 표면에 발생하는 자체 바이어스 전압보다 큰 절대 값을 가진 음의 전압일 수 있다. 기판 홀더(520)와 마주보는 상부 전극(770)의 표면은 실리콘 함유 재료로 구성될 수도 있다.
도 9에 도시한 실시형태에 있어서, 플라즈마 처리 시스템(900)은 도 5와 도 6의 실시형태와 유사할 수 있으며, RF 전력이 RF 발생기(982)로부터 선택적 임피던스 매칭 네트워크(984)를 통해 결합될 수 있는 유도 코일(980)을 더 포함할 수 있다. RF 전력은 유도 코일(980)로부터 유전체 창(도시 생략)을 통해 플라즈마 처리 영역(545)에 유도 결합된다. RF 전력을 유도 코일(980)에 인가하기 위한 주파수는 약 10 MHz 내지 약 100 MHz의 범위일 수 있다. 마찬가지로, 척 전극에 전력을 인가하기 위한 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위일 수 있다. 또한, 플라즈마 처리 영역(545)에서 유도 코일(980)과 플라즈마 사이의 용량 결합을 줄이기 위해 슬롯형 패러데이 차폐부(도시 생략)가 사용될 수 있다. 또한, 컨트롤러(555)는 유도 코일(980)에 대한 전력의 인가를 제어하기 위해 RF 발생기(982) 및 임피던스 매칭 네트워크(984)에 연결될 수 있다.
다른 실시형태에 있어서, 도 10에 도시하는 바와 같이, 플라즈마 처리 시스템(1000)은 도 9의 실시형태와 유사할 수 있으며, TCP(트랜스포머 결합 플라즈마, Transform Coupled Plasma) 반응기 내에서와 같이, 위로부터 플라즈마 처리 영역(545)과 통신하는 "나선형" 코일 또는 "팬케이크" 코일인 유도 코일(1080)을 더 포함할 수 있다. ICP(유도 결합 플라즈마, Inductively Coupled Plasma) 소스 또는 TCP 소스의 설계 및 구현은 공지되어 있으므로 더 이상의 설명은 생략한다.
대안으로, 플라즈마는 ECR(전자 사이클로트론 공명, electron cyclotron resonance)을 사용하여 형성될 수 있다. 또 다른 실시형태에서는, 플라즈마가 헬리콘파(Helicon wave)의 발사(launching)로부터 형성된다. 또 다른 실시형태에서는, 플라즈마가 전파되는 표면파로부터 형성된다. 전술한 각각의 플라즈마 소스는 공지되어 있으므로 더 이상의 설명은 생략한다.
도 11에 도시한 실시형태에 있어서, 플라즈마 처리 시스템(1100)은 도 5의 실시형태와 유사할 수 있으며, 표면파 플라즈마(SWP, surface wave plasma) 소스(1180)를 더 포함할 수 있다. SWP 소스(1180)는 래디얼 라인 슬롯 안테나 등의 슬롯 안테나를 포함할 수 있으며, 마이크로파 발생기(1182)로부터의 마이크로파 전력이 선택적인 임피던스 매칭 네트워크(1184)를 통해 이 슬롯 안테나에 결합된다.
이상 본 발명의 소정의 실시형태에 대해서만 상세하게 설명하였지만, 당업자라면 본 발명의 신규한 지침 및 효과로부터 실질적으로 벗어나는 않고서 이들 실시형태에서 많은 변형이 가능함을 쉽게 이해할 것이다. 따라서, 이러한 모두의 변형은 본 발명의 범위 내에 포함되는 것이 의도된다.

Claims (20)

  1. 기판을 에칭하는 방법에 있어서,
    에칭 공정을 용이하게 하도록 구성된 플라즈마 처리 시스템 내에 상기 기판을 배치하는 단계와,
    상기 기판의 노출면의 단층(monolayer)을 에칭하기 위해 원자층 에칭 공정 사이클(atomic layer etching process cycle)을 수행하는 단계로서, 상기 공정 사이클은,
    에칭제를 도입하고 이와 동시에, 전자기 전력(electromagnetic power)을, 상기 노출면에서의 총 이온 플럭스보다 높은 상기 노출면에서의 에칭제 라디칼 플럭스를 달성하도록 목표 설정된 전력 레벨에서 상기 플라즈마 처리 시스템에 결합시킴으로써, 상기 기판의 노출면 상에 에칭제를 포함한 흡착 단층(adsorption monolayer)을 형성하는 단계와,
    임의의 과량 에칭제를 제거하기 위해 상기 플라즈마 처리 시스템을 퍼징하는 단계와,
    상기 흡착 단층을 가스 이온에 노출하여 상기 에칭제의 반응을 촉진시킴으로써 상기 에칭제를 포함한 상기 흡착 단층을 탈착시키는 단계와,
    상기 탈착된 흡착 단층을 제거하기 위해 상기 플라즈마 처리 시스템을 퍼징하는 단계를 순차적으로 포함하는 것인, 상기 원자층 에칭 공정 사이클을 수행하는 단계와,
    목표 깊이에 도달할 때까지 상기 원자층 에칭 공정 사이클을 반복하는 단계
    를 포함하고, 각각의 공정 사이클에서는 상기 노출면으로부터 상기 단층을 에칭하며,
    상기 전력 레벨은 50 W 이하인 것인 기판 에칭 방법.
  2. 제1항에 있어서, 상기 전력 레벨은 10 W 이하인 것인 기판 에칭 방법.
  3. 제1항에 있어서, 상기 전자기 전력은 상기 기판이 놓여 있는 기판 홀더에 결합되는 바이어스 전력으로서 적어도 부분적으로 상기 플라즈마 처리 시스템에 결합되는 것인 기판 에칭 방법.
  4. 제3항에 있어서, 상기 전자기 전력은 상기 기판 홀더에 바이어스 전력으로서 독점적으로 인가되는 것인 기판 에칭 방법.
  5. 제3항에 있어서, 추가의 전자기 전력이 상기 기판 홀더와 대향하여 마주보는 전극에 대한 소스 전력으로서 상기 플라즈마 처리 시스템에 결합되는 것인 기판 에칭 방법.
  6. 제1항에 있어서, 상기 전자기 전력은 상기 기판이 놓여 있는 기판 홀더와 대향하여 마주보는 전극에 결합되는 소스 전력으로서 적어도 부분적으로 상기 플라즈마 처리 시스템에 결합되는 것인 기판 에칭 방법.
  7. 제6항에 있어서, 상기 전자기 전력은 상기 기판 홀더와 대향하는 상기 전극에 소스 전력으로서 독점적으로 인가되는 것인 기판 에칭 방법.
  8. 제1항에 있어서, 상기 에칭제는 할로겐 원소를 포함하는 것인 기판 에칭 방법.
  9. 제8항에 있어서, 상기 에칭제는 할라이드, 할로메탄, 할로실란, 또는 이들 중 2개 이상의 조합을 포함하는 것인 기판 에칭 방법.
  10. 제8항에 있어서, 상기 에칭제는 Cl2, Br2, F2, HBr, BCl3, SF6, NF3, CxFy 형태―여기서, x 및 y는 0보다 큰 실수―의 플루오로카본 화합물, CxFyHz 형태―여기서, x, y, 및 z는 0보다 큰 실수―의 플루오로하이드로카본 화합물, 또는 이들 중 2개 이상의 임의의 조합을 포함하는 것인 기판 에칭 방법.
  11. 제1항에 있어서, 상기 전력 레벨은 상기 기판에서의 총 이온 플럭스에 대한 상기 에칭제 라디칼 플럭스의 비율을 수치 100을 초과하여 달성하도록 목표 설정되는 것인 기판 에칭 방법.
  12. 제1항에 있어서, 상기 전력 레벨은 상기 기판에서의 총 이온 플럭스에 대한 상기 에칭제 라디칼 플럭스의 비율을 수치 1000을 초과하여 달성하도록 목표 설정되는 것인 기판 에칭 방법.
  13. 제1항에 있어서, 상기 흡착 단층을 형성하는 단계는 공정 사이클당 2초 이하 동안 진행되는 것인 기판 에칭 방법.
  14. 제1항에 있어서, 상기 흡착 단층을 형성하는 단계는 공정 사이클당 0.5초 이하 동안 진행되는 것인 기판 에칭 방법.
  15. 제1항에 있어서, 상기 기판의 노출면은 실리콘을 포함하고, 상기 에칭제는 Cl2를 포함하며, 상기 가스 이온은 Ar 이온을 포함하는 것인 기판 에칭 방법.
  16. 기판을 에칭하는 방법에 있어서,
    에칭 공정을 용이하게 하도록 구성된 플라즈마 처리 시스템 내에 상기 기판을 배치하는 단계와,
    상기 기판의 노출면을 공정 사이클당 기판 재료의 1 단층씩 에칭하는 단계
    를 포함하고, 각각의 공정 사이클은 흡착 단계와 탈착 단계를 교대로 수행하는 단계를 포함하며,
    상기 흡착 단계는 상기 노출면에서의 총 이온 플럭스보다 높은 상기 노출면에서의 에칭제 라디칼 플럭스를 달성하기 위해 50 W 이하의 전력 레벨에서 전자기 전력을 상기 플라즈마 처리 시스템에 결합시키면서 상기 기판의 노출면 상에 에칭제를 흡착시키는 것을 포함하고,
    상기 탈착 단계는 기판 재료의 단층과 흡착된 에칭제 간의 반응을 촉진시켜 반응 생성물을 탈착시키는 것을 포함하는 것인 기판 에칭 방법.
  17. 제16항에 있어서, 상기 흡착 단계는 상기 반응을 촉진시키기에 충분한 에너지를 갖는 가스 이온을 형성하기 위해 희가스(noble gas)를 상기 플라즈마 처리 시스템에 흘리면서, 전자기 전력을, 상기 기판이 놓여 있는 기판 홀더에 결합되는 바이어스 전력으로서 그리고 상기 기판 홀더와 대향하여 마주보는 전극에 결합되는 소스 전력으로서 상기 플라즈마 처리 시스템에 결합시키는 것을 포함하는 것인 기판 에칭 방법.
  18. 제16항에 있어서, 상기 흡착 단계와 상기 탈착 단계 사이에 그리고 상기 탈착 단계 후에 상기 플라즈마 처리 시스템을 퍼징하는 단계를 더 포함하는 기판 에칭 방법.
  19. 제16항에 있어서, 상기 전력 레벨은 20 W 이하인 것인 기판 에칭 방법.
  20. 제16항에 있어서, 상기 전력 레벨은 상기 기판에서의 총 이온 플럭스에 대한 상기 에칭제 라디칼 플럭스의 비율을 수치 100을 초과하여 달성하도록 조정되는 것인 기판 에칭 방법.
KR1020177030041A 2015-03-30 2016-03-29 원자층 에칭 방법 KR102510737B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562139795P 2015-03-30 2015-03-30
US62/139,795 2015-03-30
PCT/US2016/024661 WO2016160778A1 (en) 2015-03-30 2016-03-29 Method for atomic layer etching

Publications (2)

Publication Number Publication Date
KR20180036646A true KR20180036646A (ko) 2018-04-09
KR102510737B1 KR102510737B1 (ko) 2023-03-15

Family

ID=55745829

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177030041A KR102510737B1 (ko) 2015-03-30 2016-03-29 원자층 에칭 방법

Country Status (7)

Country Link
US (1) US9881807B2 (ko)
JP (1) JP6532066B2 (ko)
KR (1) KR102510737B1 (ko)
CN (1) CN107431011B (ko)
SG (1) SG11201707998TA (ko)
TW (1) TWI621177B (ko)
WO (1) WO2016160778A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200000817A (ko) * 2018-06-25 2020-01-03 에스피티에스 테크놀러지스 리미티드 플라즈마 에칭 방법
KR20220000351A (ko) * 2020-06-25 2022-01-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 실리사이드 게이트 충전 구조체를 갖는 반도체 디바이스
KR20220117946A (ko) * 2021-02-17 2022-08-25 대전대학교 산학협력단 액상 전구체를 이용한 원자층 식각 방법

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256108B2 (en) * 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
CN110050331B (zh) * 2016-12-09 2023-07-25 Asm Ip 控股有限公司 热原子层蚀刻工艺
US10566212B2 (en) * 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10134600B2 (en) * 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10483118B2 (en) * 2017-05-11 2019-11-19 Tokyo Electron Limited Etching method
US10504742B2 (en) * 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
JP6987172B2 (ja) * 2017-11-28 2021-12-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
WO2019199922A1 (en) * 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
US10847375B2 (en) * 2018-06-26 2020-11-24 Lam Research Corporation Selective atomic layer etching
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11043362B2 (en) * 2019-09-17 2021-06-22 Tokyo Electron Limited Plasma processing apparatuses including multiple electron sources
JP7114554B2 (ja) 2019-11-22 2022-08-08 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN111370308B (zh) * 2020-02-18 2023-03-21 中国科学院微电子研究所 一种刻蚀方法及系统、刻蚀控制装置、电子器件及设备
JP7394665B2 (ja) * 2020-03-11 2023-12-08 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN112366135A (zh) * 2020-10-26 2021-02-12 北京北方华创微电子装备有限公司 一种硅原子层刻蚀方法
JP2022122425A (ja) * 2021-02-10 2022-08-23 東京エレクトロン株式会社 プラズマ処理装置及び監視装置
US20220301887A1 (en) * 2021-03-16 2022-09-22 Applied Materials, Inc. Ruthenium etching process
US11664195B1 (en) 2021-11-11 2023-05-30 Velvetch Llc DC plasma control for electron enhanced material processing
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120024544A (ko) * 2009-12-15 2012-03-14 유니버시티 오브 휴스턴 시스템 펄스형 플라즈마를 사용한 원자층 에칭
US20140113457A1 (en) * 2010-04-15 2014-04-24 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20140206192A1 (en) * 2011-07-22 2014-07-24 Research & Business Foundation Sungkyunkwan University Method for etching atomic layer of graphine
US20150083582A1 (en) * 2010-08-04 2015-03-26 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR101080604B1 (ko) * 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US20130084707A1 (en) 2011-09-30 2013-04-04 Tokyo Electron Limited Dry cleaning method for recovering etch process condition
CN103117216B (zh) * 2011-11-17 2015-08-05 中芯国际集成电路制造(上海)有限公司 避免浅沟槽隔离结构产生缺角的半导体器件的制作方法
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120024544A (ko) * 2009-12-15 2012-03-14 유니버시티 오브 휴스턴 시스템 펄스형 플라즈마를 사용한 원자층 에칭
JP2012529777A (ja) * 2009-12-15 2012-11-22 ユニバーシティ オブ ヒューストン システム パルスプラズマを用いた原子層エッチング
US20140113457A1 (en) * 2010-04-15 2014-04-24 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20150083582A1 (en) * 2010-08-04 2015-03-26 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US20140206192A1 (en) * 2011-07-22 2014-07-24 Research & Business Foundation Sungkyunkwan University Method for etching atomic layer of graphine

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200000817A (ko) * 2018-06-25 2020-01-03 에스피티에스 테크놀러지스 리미티드 플라즈마 에칭 방법
KR20220000351A (ko) * 2020-06-25 2022-01-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 실리사이드 게이트 충전 구조체를 갖는 반도체 디바이스
KR20220117946A (ko) * 2021-02-17 2022-08-25 대전대학교 산학협력단 액상 전구체를 이용한 원자층 식각 방법

Also Published As

Publication number Publication date
JP6532066B2 (ja) 2019-06-19
KR102510737B1 (ko) 2023-03-15
TWI621177B (zh) 2018-04-11
SG11201707998TA (en) 2017-10-30
JP2018510515A (ja) 2018-04-12
CN107431011B (zh) 2021-08-24
TW201643958A (zh) 2016-12-16
WO2016160778A1 (en) 2016-10-06
US20160293432A1 (en) 2016-10-06
CN107431011A (zh) 2017-12-01
US9881807B2 (en) 2018-01-30

Similar Documents

Publication Publication Date Title
KR102510737B1 (ko) 원자층 에칭 방법
TWI743072B (zh) 蝕刻方法及蝕刻裝置
TWI620246B (zh) 於抗反射塗佈層蝕刻期間使用氫以改良粗糙度及提升選擇性的方法
JP6280030B2 (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
TWI514462B (zh) 氮化矽膜中之特徵部的蝕刻方法
JP5205378B2 (ja) Rf変調によって弾道電子ビームの均一性を制御する方法及びシステム
JP2013542613A (ja) フォトレジスト線幅の荒れを制御するための方法及び装置
JP2008198988A (ja) プラズマ処理方法
TWI738647B (zh) 被蝕刻層之蝕刻方法
JP5271267B2 (ja) エッチング処理を実行する前のマスク層処理方法
JP2011040757A (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
KR20110040933A (ko) H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선
US20090286397A1 (en) Selective inductive double patterning
KR20130102504A (ko) 저 유전상수 손상을 감소시키도록 노출된 저 유전상수 표면에 SiOCl-함유 층을 형성하는 방법
KR102412439B1 (ko) 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
KR20160134537A (ko) 에칭 방법
JP2015079793A (ja) プラズマ処理方法
KR20200115273A (ko) 텅스텐 또는 다른 금속층의 원자층 에칭
KR20190011600A (ko) 플라즈마 처리 장치 및 방법, 및 이를 이용한 반도체 장치의 제조 방법
KR102594444B1 (ko) 황 기반 화학물을 이용한 실리콘 함유 유기 막의 플라즈마 에칭 방법
KR102638422B1 (ko) 유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법
KR20160110153A (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
KR102448699B1 (ko) 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant