JP2013542613A - フォトレジスト線幅の荒れを制御するための方法及び装置 - Google Patents

フォトレジスト線幅の荒れを制御するための方法及び装置 Download PDF

Info

Publication number
JP2013542613A
JP2013542613A JP2013536635A JP2013536635A JP2013542613A JP 2013542613 A JP2013542613 A JP 2013542613A JP 2013536635 A JP2013536635 A JP 2013536635A JP 2013536635 A JP2013536635 A JP 2013536635A JP 2013542613 A JP2013542613 A JP 2013542613A
Authority
JP
Japan
Prior art keywords
photoresist layer
processing chamber
substrate
roughness
line width
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013536635A
Other languages
English (en)
Inventor
バンキウ ウー
アジャイ クマール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013542613A publication Critical patent/JP2013542613A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Abstract

本発明は、フォトレジスト層の線幅の荒れ(LWR)を制御及び修正するための方法及び装置を提供する。一実施形態では、基板上に配置されたフォトレジスト層の線幅の荒れを制御するための装置は、内部処理領域を画定する頂壁、側壁及び底壁を有するチャンバ本体と、導波路を介してチャンバ本体に結合されたマイクロ波電力発生装置と、導波路に隣接してチャンバ本体の外周の周りに配置された1以上のコイル又は磁石と、ガス供給通路を通って導波路に結合されたガス供給源とを含む。

Description

背景
(発明の分野)
本発明は、概して、フォトレジスト線幅の荒れを制御するための方法及び装置に関し、より具体的には、半導体処理技術でフォトレジスト線幅の荒れを制御するための方法及び装置に関する。
(関連技術の説明)
集積回路は、単一のチップ上に数百万個の部品(例えば、トランジスタ、コンデンサ、抵抗)を含めることができる複雑なデバイスへと進化してきた。チップ設計の進化は、高速な回路及び高い回路密度を絶えず必要としている。高い回路密度の需要は、集積回路部品の小型化を必要とする。
集積回路部品の寸法が(例えば、サブミクロンの寸法へと)減少するにつれて、半導体集積回路上のある領域内により多くの素子が配置されることが要求される。したがって、損傷なく精密にかつ正確に基板上に更に小さな構造を転写するリソグラフィープロセスはますます困難になってきている。基板上に精密かつ正確な構造を転写するために、所望の高解像度リソグラフィープロセスでは、露光用の所望の波長範囲で放射を提供可能な適切な光源を有する必要がある。更に、リソグラフィープロセスは、最小のフォトレジスト線幅の荒れ(LWR)を有するフォトレジスト層上に構造を転写することが要求される。結局のところ、フォトレジスト層上に所望の構造を転写するためには、無欠陥マスクが必要とされる。最近では、短い露光波長を提供するために極紫外線(EUV)放射線源が利用され、これによって基板上での最小印刷可能サイズを更に減少させている。しかしながら、このような小さな寸法では、フォトレジスト層のエッジの荒れを制御するのは、ますます困難になってきている。
図1は、エッチングされるターゲット材102上に配置されたパターン形成されたフォトレジスト層104を有する基板100の例示的な上部断面図を示す。ターゲット材102上に構造を転写するエッチングのために、下地のターゲット材102を容易に露出するようにパターニングされたフォトレジスト層104の間に開口部106が画定される。しかしながら、リソグラフィ露光プロセスの不正確な制御又は低解像度は、フォトレジスト層104のクリティカルディメンジョンを悪化させ、これによって許容できない線幅の荒れ(LWR)108をもたらす可能性がある。フォトレジスト層104の大きな線幅の荒れ(LWR)108は、ターゲット材102への不正確な構造の転写をもたらし、こうして最終的にデバイスの故障及び歩留まりのロスにつながる可能性がある。
したがって、所望のクリティカルディメンジョンをもつパターニングされたフォトレジスト層を得るために、線幅の荒れ(LWR)を制御し、最小限に抑えるための方法及び装置が必要である。
概要
本発明は、フォトレジスト層の線幅の荒れ(LWR)を制御及び修正するための方法及び装置を提供する。一実施形態では、基板上に配置されたフォトレジスト層の線幅の荒れを制御するための装置は、内部処理領域を画定する頂壁、側壁及び底壁を有するチャンバ本体と、導波路を介してチャンバ本体に結合されたマイクロ波電力発生装置と、導波路に隣接してチャンバ本体の外周の周りに配置された1以上のコイル又は磁石と、ガス供給通路を通って導波路に結合されたガス供給源とを含む。
別の一実施形態では、基板上に配置されたフォトレジスト層の線幅の荒れを制御するための方法は、上にパターニングされたフォトレジスト層を配置した基板を内部に配置した処理チャンバ内で電場を発生させる工程と、基板上に配置したパターニングされたフォトレジスト層にガス混合物を供給する工程と、ガス混合物内でプラズマを形成するように処理チャンバ内で電場と相互作用する磁場を発生させる工程と、処理チャンバ内に形成されたプラズマで、パターニングされたフォトレジスト層のエッジ形状を整える工程を含む。
更に別の一実施形態では、基板上に配置されたフォトレジスト層の線幅の荒れを制御するための方法は、内部に基板が配置された処理チャンバ内へガス混合物を供給する工程と、マイクロ波電力を処理チャンバに印加して処理チャンバ内に電場を発生させる工程と、処理チャンバの外周部の周りに配置された1以上のコイル又は磁石に直流又は交流電力を印加して磁場を発生させる工程と、磁場と電場の相互作用によってガス混合物内にプラズマを形成する工程と、処理チャンバ内に形成されたプラズマを利用して、パターニングされたフォトレジスト層のエッジ形状を整える工程を含む。
本発明の上述した構成を達成し、詳細に理解することができるように、上記に簡単に要約した本発明のより具体的な説明を、添付図面に示されるその実施形態を参照して行う。
従来当該技術分野において基板上に配置されたパターン化されたフォトレジスト層の例示的構成の上面図を示す。 本発明の一実施形態に係る使用された電子サイクロトロン共鳴(ECR)プラズマリアクタの概略断面図を示す。 本発明の一実施形態に係る電子軌道図を示す。 本発明の一実施形態に係る基板上でECRプラズマ処理を行うプロセスフロー図を示す。 本発明の一実施形態に係るフォトレジスト層に隣接して通過した電子軌道の平面図を示す。 本発明の一実施形態に係る基板上に配置されたフォトレジスト層の線幅の荒れの形状を示す。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態の要素及び構成を更なる説明なしに他の実施形態に有益に組み込んでもよいと理解される。
しかしながら、添付図面は本発明の例示的な実施形態を示しているに過ぎず、したがってこの範囲を制限されていると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
詳細な説明
本発明の実施形態は、基板上に配置されたフォトレジスト層の線幅の荒れ(LWR)を制御するための方法及び装置を含む。フォトレジスト層の線幅の荒れ(LWR)は、露光/現像工程後にフォトレジスト層上に電子サイクロトロン共鳴(ECR)プラズマプロセスを行うことにより制御することができる。電子サイクロトロン共鳴(ECR)プラズマプロセスは、フォトレジスト層パターンのエッジを滑らかにするナノメートルスケールでの化学的・電子研削方法を提供するために実行され、これによって後続のエッチングプロセスのために最小のパターンのエッジの荒れを有するフォトレジスト層の滑らかなパターンを提供する。
図2は、本発明に係る電子サイクロトロン共鳴(ECR)プラズマプロセスを実行するのに適した電子サイクロトロン共鳴(ECR)プラズマリアクタ200の一実施形態の概略断面図を示す。本発明を実施するのに適したこのようなエッチングリアクタの1つは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)から入手できる。他のメーカー製のものも含めて、他の適切なプラズマ処理チャンバもまた、本明細書内において使用可能であることが理解される。
プラズマリアクタ200は、チャンバ本体210を有する処理チャンバ252を含む。処理チャンバ252は、真空ポンプ228が結合された高真空容器である。処理チャンバ252のチャンバ本体210は、内部に処理領域212を画定する頂壁222、側壁224、及び底壁226を含む。側壁224の温度は、側壁224の内部及び/又は周囲に配置された液体含有導管(図示せず)を用いて制御される。底壁226は、電気的グランド230に接続されている。
処理チャンバ252は、支持台座部214を含む。支持台座部214は、処理チャンバ252の底壁226を貫通して処理領域212内へと延びている。支持台座部214は、処理用に上に配置される基板250を受け取ることができる。支持台座部214は、整合ネットワーク216を介して高周波(RF)バイアス電源218に結合され、電気的グランド232へと結合されている。バイアス電源218は、一般的に約50kHz〜約60MHzの調整可能な周波数と約0〜5000ワットのバイアス電力を有するRF信号を生成することが可能である。オプションで、バイアス電源218は、DC又はパルスDC電源が可能である。
マイクロ波電力発生装置202は、導波路220を介して処理チャンバ252の処理領域212へ電力を結合する。マイクロ波電力発生装置202と導波路220との間に誘電体窓204を配置してもよい。一実施形態では、誘電体窓204は、石英ガラス、セラミックス材料等から製造することができる。
1以上のコイルセグメント又は磁石208(208A及び208Bとして図示されている)が、処理チャンバ252の外周の周囲に配置されている。コイルセグメント又は磁石208への電力は、直流電源又は低周波交流電源(図示せず)によって制御される。コイルセグメント又は磁石208は、一般的に対称なパターンで離間して配置されており、極性が交互に(すなわち、N極「N」とS極「S」が交互に)配置されている。処理チャンバ252の円周の周りに配置されたコイルセグメント又は磁石208は、内部処理領域212内の円形領域の中央に向かって発生されたプラズマを「押す(プッシュする)」傾向がある。コイルセグメント又は磁石208は、マイクロ波を処理チャンバ252内に導入している電場とは垂直な方向に磁場を発生させる。コイルセグメント又は磁石208は、永久磁石、電磁石、又は磁場を発生させ、発生させた磁場を内部処理領域212内に形成することができる他の類似の装置を含むことができる。ガス供給源206は、処理チャンバ252内に処理ガスを供給するための導波路220に結合することができる。マイクロ波電力は、電子が周回(移動)するときに電子にエネルギーを与えながら、磁場は、磁力線248に沿って電子を周回させる。電場と磁場との相互作用は、ガス供給源206から供給されるガスを解離させ、電子サイクロトロン共鳴(ECR)プラズマを形成させる。ECRプラズマは、磁場、自由電荷(例えば、電子やイオン)、ラジカル、又は中性原子を含むことができ、これらは回転して基板表面250に向かって移動することができる。ガス混合物から解離したイオンや電荷と結合したスピン電子は、磁力線248に沿って円形のモードで基板表面に向かって加速することができ、これによって基板表面上に形成された構造をグリッド化する。まず図3を参照すると、図3は、磁場中での電子軌道302を描いている。磁場によって電子は、内部処理領域212内で磁力線304に沿って回転し旋回することができるので、電子は基板表面に向かって円運動302で移動することができる。
図2を再び参照すると、コイルセグメント又は磁石208は、処理チャンバ252の軸に沿って長手方向に移動させて、内部処理領域212内に発生する磁場の最大点の軸方向の位置を調整することができる。ECRプラズマの形成を促進するのに十分な磁場強度を発生させることができる他の磁場発生源を用いることもできる。
基板処理時には、チャンバ252の内部のガス圧力は、所定の範囲内に制御することができる。一実施形態では、チャンバ252の内部処理領域212内のガス圧は、約0.1〜999ミリトールに維持される。基板250は、摂氏約10〜約500度の間の温度に維持することができる。
中央処理装置(CPU)244と、メモリ242と、サポート回路246を含むコントローラ240は、本発明のプロセスの制御を促進するためにリアクタ202の様々なコンポーネントに結合されている。メモリ242は、任意のコンピュータ可読媒体(例えば、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピー(商標名)ディスク、ハードディスク)、又はリアクタ200又はCPU244に対してローカル又はリモートのその他の任意のデジタルストレージの形態であることができる。サポート回路246は、従来通りにCPUが244を支援するために、CPU244に結合される。これらの回路は、キャッシュ、電源、クロック回路、入力/出力回路、サブシステムなどを含む。メモリ242に格納されたソフトウェアルーチン又は一連のプログラム命令は、CPU244によって実行されると、リアクタ200に、本発明のプラズマ処理を実行させる。
図2は、単に本発明を実施するために使用できるプラズマリアクタの様々な種類の構成のうちの一例を示している。例えば、異なる結合機構を用いて、異なる種類のマイクロ波電力、磁力、バイアス電力をプラズマチャンバに結合することができる。一部のアプリケーションでは、異なる種類のプラズマを、基板が配置されている場所とは異なるチャンバ内(例えば、リモートプラズマ源)で発生させ、その後、当該技術分野で周知の技術を用いてチャンバ内に導くことができる。
図4は、本発明の一実施形態に係る、フォトレジストの線幅の荒れ(LWR)の制御プロセス400を実行する一実施形態のフロー図を示す。プロセス400は、プロセス400をECRプラズマ処理チャンバ(例えば、図2に示されるECRプラズマリアクタ200又は他の適当なリアクタ)内でコントローラ240に実行させる命令として、メモリ242内に格納することができる。
プロセス400は、基板(例えば、図2に示される基板250)を処理用の処理チャンバ252に供給することによって、ブロック402で始まる。基板250は、図5に示されるように、エッチングされるターゲット材512を上に配置することができる。一実施形態では、フォトレジストの線幅の荒れ(LWR)の制御プロセス400を用いてエッチングされるターゲット材512は、誘電体層、金属層、セラミックス材料、又は他の適切な材料が可能である。一実施形態では、エッチングされるターゲット材512は、半導体製造に利用されるゲート構造又はコンタクト構造又は層間誘電体構造(ILD)として形成された誘電体材料であることができる。誘電体材料の好適な例としては、SiO、SiON、SiN、SiC、SiOC、SiOCN、a−Cなどを含む。別の一実施形態では、エッチングされるターゲット材512は、金属間誘電体構造(IMD)又は他の好適な構造として形成された金属材料であることができる。金属層の好適な例としては、Cu、Al、W、Ni、Crなどを含む。
ブロック404では、フォトレジストの線幅の荒れ(LWR)制御プロセス400が基板250上に実行され、これによって図5に示されるように、フォトレジスト層514のエッジ516をグリッド化し、修正し、整えることができる。フォトレジストの線幅の荒れ(LWR)制御プロセス400は、ECR処理チャンバ内で基板250上に配置されたフォトレジスト層514上でECRプラズマプロセスを実施することによって実行される。上述のように、ECRプラズマプロセス内で励起された電子は、円を描くように移動して加速される。図5に示されるように、電子の円運動504は、フォトレジスト層514の不均一なエッジ516を、滑らかにグリッド化し、衝突し、研磨除去する。このプロセスは、フォトレジスト層514の所望の荒れ具合(例えば、(仮想線510によって図示されるような)真直度)が達成されるまで、継続的に実行することができる。電子の運動量の良好な制御によって、フォトレジスト層514のエッジ516からの不均一な面や突起は徐々に平坦化され、これによってフォトレジストの線幅の荒れ(LWR)を所望の最小範囲内に効率よく制御することができる。電子運動量、イオン密度、イオン質量、又は電荷密度は、磁場と電場の間の相互作用から発生した電力及び内部に供給されたガスによって制御することができる。一実施形態では、マイクロ波電場と磁場が発生するように供給された電力を調整することによって、異なる電子の運動量や移動度を得ることができる。
ブロック406において、フォトレジスト層514の線幅の荒れ(LWR)は、ECRプラズマ処理中に、調整され、グリッド化され、修正され、制御することができる。処理中に、フォトレジスト層514の線幅の荒れを所望の範囲に維持するように、いくつかのプロセスパラメータを制御することができる。一実施形態では、マイクロ波電力は、約50ワット〜約2000ワットで処理チャンバに供給することができる。処理チャンバ内に生成された磁場は、約500G〜約1000Gに制御することができる。約100ワット〜約2000ワットのDC及び/又はAC電力を使用して、処理チャンバ内に磁場を発生させることができる。処理チャンバの圧力は、約0.5ミリトール〜約500ミリトールに制御することができる。処理ガスは、フォトレジスト層514のエッジの荒れの修正、調整、及び制御を支援するために、処理チャンバ内に供給することができる。フォトレジスト層514用に選択された材料は、しばしば有機材料であるため、酸素含有ガスが処理チャンバ内に供給される処理ガスとして選択され、これによってフォトレジスト層514の荒れと形状のグリッド化及び修正を支援することができる。酸素含有ガスの好適な例としては、O、NO、NO、O、HO、CO、COなどを含む。他の種類の処理ガスもまた、同時に又は個別に、処理チャンバ内に供給して、これによってフォトレジスト層514の荒れの修正を支援することができる。処理ガスの好適な例としては、N、NH、Cl、又は不活性ガス(Ar又はHe)を含む。処理ガスは、約10sccm〜約500sccm(例えば、約100sccm〜約200sccm)の流量で処理チャンバ内に供給することができる。処理は約30秒〜約200秒実行可能である。特定の一実施形態では、Oガスが処理ガスとして処理チャンバ内に供給され、これによってフォトレジスト層514と反応して、基板250上に配置されたフォトレジスト層514の線幅の荒れ(LWR)を整えて、修正する。
上述のように、一実施形態では、マイクロ波の電場と磁場を生成するように供給された電力を調整することによって、異なる電子の運動量又は移動度を得ることができ、これによって別の衝突エネルギーを提供して、フォトレジスト層のエッジの荒れを修正又は整えることができる。高い電子移動の運動量が望まれる一実施形態では、マイクロ波電場及び磁場を発生させるためにより高い電力が供給され、これによってフォトレジスト層の荒れを整えて修正するためのより高い衝突エネルギーを提供することができる。これとは対照的に、低い電子移動の運動量が望まれる一実施形態では、マイクロ波電場及び磁場を発生させるためにより低い電力が供給され、これによってより低い衝突エネルギーを提供し、下地層をエッチングすることなく必要に応じてフォトレジスト層の荒れを穏やかに平滑化し磨くことができる。
フォトレジスト層514の所望の最小荒れが達成されるまで、フォトレジストの線幅の荒れ(LWR)制御プロセス400は、連続して行うことができる。一実施形態では、フォトレジスト層514の線幅の荒れ513は、約3.0nm未満(例えば、約1.0nm〜約1.5nm)の範囲内に制御することができる。フォトレジストの線幅の荒れ(LWR)制御プロセス400は、フォトレジスト層514の所望の荒れが達成されたことを示す終点信号伝達に達した後、終了させることができる。あるいはまた、フォトレジストの線幅の荒れ(LWR)制御プロセス400は、予め設定された時間モードによって終了させることができる。一実施形態では、フォトレジストの線幅の荒れ(LWR)制御プロセス400は、約100秒〜約500秒の間、実行することができる。
図6は、フォトレジストの線幅の荒れ(LWR)制御プロセス400を表面においてすでに実行したフォトレジスト層514の断面図の典型的な一実施形態を示す。フォトレジストの線幅の荒れ(LWR)制御プロセス400の後、滑らかなエッジ面が得られている。エッジの荒れを最小化し、フォトレジスト層514のエッジの形態を平滑化するように、フォトレジスト層514の荒れが平滑化され、調整されている。フォトレジスト層514内に形成された滑らかなエッジ面は、エッチング用に下地のターゲット材512を露出させるために、パターニングされたフォトレジスト層514内にシャープで明確に画定された開口部604を画定し、これによってマスク層として形成される正確で真直ぐな開口幅606をエッチングする。一実施形態では、開口部604の幅606は、約15nm〜約35nmの間に制御することができる。
一実施形態では、下地のターゲット材512は、線幅の荒れ(LWR)制御プロセスを実行するために使用されたのと同じチャンバ(例えば、図2に示されるチャンバ200)内でエッチングプロセスを実行することによってエッチングすることができる。別の一実施形態では、下地のターゲット材512は、線幅の荒れ(LWR)処理チャンバを組み込むことができるクラスタシステム内に統合された他の任意の異なる適切なエッチングチャンバ内でエッチングプロセスを実行することによってエッチングすることができる。更に別の一実施形態では、下地のターゲット材512は、線幅の荒れ(LWR)処理チャンバから分離した、又は線幅の荒れ(LWR)処理チャンバを組み込むことができるクラスタシステムから分離したスタンドアロンのチャンバを含む任意の他の別の適切なエッチングチャンバ内でエッチングプロセスを実行することによってエッチングすることができる。
一実施形態では、線幅の荒れ(LWR)プロセスを実行するために利用されるガス混合物は、下地のターゲット材512をエッチングするために利用されるガス混合物とは異なるように構成される。一実施形態では、線幅の荒れ(LWR)プロセスを実行するために利用されるガス混合物は、酸素含有層(例えば、O)を含み、下地のターゲット材512をエッチングするために利用されるガス混合物は、ハロゲン含有ガス(例えば、フッ素炭素ガス、塩素含有ガス、臭化物含有ガス、フッ素含有ガスなど)を含む。
このように、本発明は、フォトレジスト層の線幅の荒れ(LWR)を制御及び修正するための方法及び装置を提供する。本方法及び装置は、有利なことに、EUV露光処理後の基板上に配置されたフォトレジスト層の形状、線幅の荒れ、及び寸法を制御し、修正し、整えることができ、これによってフォトレジスト層内の開口部の正確なクリティカルディメンジョンを提供することができ、次のエッチングプロセスにおいて、開口部を介してエッチングされる下地層に正確にクリティカルディメンジョンを転写することができる。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. 基板上に配置されたフォトレジスト層の線幅の荒れを制御するための装置であって、
    内部処理領域を画定する頂壁、側壁及び底壁を有するチャンバ本体と、
    導波路を介してチャンバ本体に結合されたマイクロ波電力発生装置と、
    導波路に隣接してチャンバ本体の外周の周りに配置された1以上のコイル又は磁石と、
    ガス供給通路を通って導波路に結合されたガス供給源とを含む装置。
  2. チャンバ本体内に配置され、チャンバ本体の底壁を貫通して延びる基板支持アセンブリを含む請求項1記載の装置。
  3. 基板支持アセンブリに結合されたRFバイアス電源を含む請求項2記載の装置。
  4. マイクロ波電力発生装置と導波路との間に配置された誘電体窓を含む請求項1記載の装置。
  5. コイル又は磁石は永久磁石又は電磁石である請求項1記載の装置。
  6. 処理チャンバはグランドに結合されている請求項1記載の装置。
  7. 基板支持アセンブリに結合されたRFバイアス電源はグランドに結合されている請求項3記載の装置。
  8. 基板上に配置されたフォトレジスト層の線幅の荒れを制御するための方法であって、
    上にパターニングされたフォトレジスト層を配置した基板を内部に配置した処理チャンバ内で電場を発生させる工程と、
    基板上に配置したパターニングされたフォトレジスト層にガス混合物を供給する工程と、
    ガス混合物内でプラズマを形成するように処理チャンバ内で電場と相互作用する磁場を発生させる工程と、
    処理チャンバ内に形成されたプラズマで、パターニングされたフォトレジスト層のエッジ形状を整える工程を含む方法。
  9. 電場を発生させる工程は、処理チャンバにマイクロ波電力を印加して電場を発生させる工程を含む請求項8記載の方法。
  10. 磁場を発生させる工程は、処理チャンバの外周部の周りに配置された1以上のコイル又は磁石に直流又は交流電力を印加して磁場を発生させる工程を含む請求項8記載の方法。
  11. コイル又は磁石は永久磁石又は電磁石である請求項10記載の方法。
  12. ガス混合物を供給する工程は、酸素含有ガスを処理チャンバ内に供給する工程を含む請求項8記載の方法。
  13. 酸素含有ガスがOである請求項12記載の方法。
  14. パターニングされたフォトレジスト層のエッジ形状を整える工程は、処理チャンバ内で発生された磁場と電場の間の相互作用を調整する工程を含む請求項8記載の方法。
  15. 相互作用を調節する工程は、約3.0nm未満の線幅の荒れを有するフォトレジスト層のエッジ形状を制御する工程を含む請求項14記載の方法。
JP2013536635A 2010-10-27 2011-09-30 フォトレジスト線幅の荒れを制御するための方法及び装置 Pending JP2013542613A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US40720910P 2010-10-27 2010-10-27
US61/407,209 2010-10-27
PCT/US2011/054288 WO2012057967A2 (en) 2010-10-27 2011-09-30 Methods and apparatus for controlling photoresist line width roughness

Publications (1)

Publication Number Publication Date
JP2013542613A true JP2013542613A (ja) 2013-11-21

Family

ID=45994639

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013536635A Pending JP2013542613A (ja) 2010-10-27 2011-09-30 フォトレジスト線幅の荒れを制御するための方法及び装置

Country Status (6)

Country Link
US (1) US9039910B2 (ja)
JP (1) JP2013542613A (ja)
KR (1) KR20130141550A (ja)
CN (1) CN103180932A (ja)
TW (1) TW201241873A (ja)
WO (1) WO2012057967A2 (ja)

Families Citing this family (344)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012057967A2 (en) * 2010-10-27 2012-05-03 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8709706B2 (en) * 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9064808B2 (en) 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US8609550B2 (en) 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014112644A (ja) * 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN104347392A (zh) * 2013-08-05 2015-02-11 中芯国际集成电路制造(上海)有限公司 图形化方法
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9377692B2 (en) 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US9366966B2 (en) 2014-07-10 2016-06-14 Applied Materials, Inc. Electric/magnetic field guided acid profile control in a photoresist layer
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9735028B2 (en) 2015-03-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with fine line pitch and fine end-to-end space
US9823570B2 (en) 2015-04-02 2017-11-21 Applied Materials, Inc. Field guided post exposure bake application for photoresist microbridge defects
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108550520A (zh) * 2018-04-27 2018-09-18 武汉新芯集成电路制造有限公司 一种改善存储区浅槽线平整度的方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN113196452A (zh) 2019-01-18 2021-07-30 应用材料公司 用于电场引导的光刻胶图案化工艺的膜结构
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220112135A (ko) * 2021-02-03 2022-08-10 에스케이하이닉스 주식회사 극자외선광 및 전기장을 이용한 레지스트 패턴 형성 방법 및 장치
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1092793A (ja) * 1996-09-10 1998-04-10 Hitachi Ltd エッチング方法
JP2008198988A (ja) * 2007-01-16 2008-08-28 Hitachi High-Technologies Corp プラズマ処理方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
JPH0216732A (ja) 1988-07-05 1990-01-19 Mitsubishi Electric Corp プラズマ反応装置
US5133826A (en) 1989-03-09 1992-07-28 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source
US5366586A (en) 1992-02-03 1994-11-22 Nec Corporation Plasma formation using electron cyclotron resonance and method for processing substrate by using the same
JP3147595B2 (ja) 1993-07-22 2001-03-19 富士電機株式会社 電磁波検出装置
JPH07201820A (ja) 1993-12-28 1995-08-04 Fujitsu Ltd 水銀カドミウムテルル基板のエッチング方法
JPH11297673A (ja) 1998-04-15 1999-10-29 Hitachi Ltd プラズマ処理装置及びクリーニング方法
KR20010063725A (ko) * 1999-12-24 2001-07-09 박종섭 반도체 소자 제조용 포토레지스트 패턴의 선폭 조절방법
EP1178134A1 (fr) * 2000-08-04 2002-02-06 Cold Plasma Applications C.P.A. Procédé et dispositif pour traiter des substrats métalliques au défilé par plasma
JP3764639B2 (ja) 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
DE10393277T5 (de) * 2002-09-18 2005-09-01 Mattson Technology Inc., Fremont System und Verfahren zum Entfernen von Material
US7875420B2 (en) 2003-06-06 2011-01-25 Tokyo Electron Limited Method for improving surface roughness of processed film of substrate and apparatus for processing substrate
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
JP2006147449A (ja) 2004-11-24 2006-06-08 Japan Aerospace Exploration Agency 高周波放電プラズマ生成型二段式ホール効果プラズマ加速器
WO2006081534A1 (en) 2005-01-28 2006-08-03 Micell Technologies, Inc. Compositions and methods for image development of conventional chemically amplified photoresists
JP4302065B2 (ja) 2005-01-31 2009-07-22 株式会社東芝 パターン形成方法
US20070049048A1 (en) * 2005-08-31 2007-03-01 Shahid Rauf Method and apparatus for improving nitrogen profile during plasma nitridation
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
TWI305826B (en) 2006-12-13 2009-02-01 Ind Tech Res Inst Method for correlating the line width roughness of gratings and method for measurement
KR101570551B1 (ko) * 2008-03-11 2015-11-19 램 리써치 코포레이션 에칭층 내에 피쳐들을 에칭하기 위한 방법
JP5128421B2 (ja) * 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
NL2004085A (en) 2009-03-11 2010-09-14 Asml Netherlands Bv Radiation source, lithographic apparatus, and device manufacturing method.
WO2012057967A2 (en) * 2010-10-27 2012-05-03 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1092793A (ja) * 1996-09-10 1998-04-10 Hitachi Ltd エッチング方法
JP2008198988A (ja) * 2007-01-16 2008-08-28 Hitachi High-Technologies Corp プラズマ処理方法

Also Published As

Publication number Publication date
WO2012057967A3 (en) 2012-06-21
CN103180932A (zh) 2013-06-26
KR20130141550A (ko) 2013-12-26
US20120103939A1 (en) 2012-05-03
WO2012057967A2 (en) 2012-05-03
US9039910B2 (en) 2015-05-26
TW201241873A (en) 2012-10-16

Similar Documents

Publication Publication Date Title
JP2013542613A (ja) フォトレジスト線幅の荒れを制御するための方法及び装置
KR102510737B1 (ko) 원자층 에칭 방법
JP5108489B2 (ja) プラズマ処理方法
TWI594087B (zh) 光阻遮罩之處理方法及半導體裝置製造方法
US9390941B2 (en) Sample processing apparatus, sample processing system, and method for processing sample
TWI489521B (zh) 用於執行多光阻層顯影與蝕刻製程的方法與設備
US8334083B2 (en) Etch process for controlling pattern CD and integrity in multi-layer masks
US20150243524A1 (en) Method of processing target object and plasma processing apparatus
CN105190840A (zh) 用于多图案化应用的光调谐硬掩模
TW201308021A (zh) 調控增強的電子自旋以控制光阻線寬粗糙度之方法與設備
JP2011040757A (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
WO2013151811A1 (en) Method and apparatus for forming features with plasma pre-etch treatment on photoresist
JP6243722B2 (ja) エッチング処理方法
US10529589B2 (en) Method of plasma etching of silicon-containing organic film using sulfur-based chemistry
US20190080925A1 (en) Selective oxide etching method for self-aligned multiple patterning
JP6643875B2 (ja) エッチング方法
JP5063535B2 (ja) プラズマ処理方法
US20110236806A1 (en) Dc voltage charging of cathode for plasma striking
JP2002367957A (ja) 多結晶シリコン膜のエッチング方法、半導体装置および多結晶シリコン膜のエッチング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140930

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150615

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150623

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20151117