TW201308021A - 調控增強的電子自旋以控制光阻線寬粗糙度之方法與設備 - Google Patents

調控增強的電子自旋以控制光阻線寬粗糙度之方法與設備 Download PDF

Info

Publication number
TW201308021A
TW201308021A TW101114736A TW101114736A TW201308021A TW 201308021 A TW201308021 A TW 201308021A TW 101114736 A TW101114736 A TW 101114736A TW 101114736 A TW101114736 A TW 101114736A TW 201308021 A TW201308021 A TW 201308021A
Authority
TW
Taiwan
Prior art keywords
processing chamber
plasma
substrate
disposed
photoresist layer
Prior art date
Application number
TW101114736A
Other languages
English (en)
Inventor
Banqiu Wu
Ajay Kumar
Kartik Ramaswamy
Omkaram Nalamasu
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201308021A publication Critical patent/TW201308021A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

本發明提供用以藉由增強的電子自旋控制來控制與改變光阻層的線寬粗糙度(LWR)的方法與設備。在一實施例中,一種用以控制設置在一基材上的一光阻層的一線寬粗糙度的設備包含:一處理腔室,該處理腔室具有一腔室主體,該腔室主體具有一頂壁、一側壁與一底壁,該等壁界定一內部處理區域;一支撐載座,該支撐載座設置在該處理腔室的該內部處理區域中;及一電漿產生器源,該電漿產生器源設置在該處理腔室中且能運作以主要地提供一電子束源到該內部處理區域。

Description

調控增強的電子自旋以控制光阻線寬粗糙度之方法與設備
本發明大體上關於用以控制光阻線寬粗糙度的方法與設備,並且更詳細地說是關於在半導體處理技術中藉由增強的電子自旋控制來控制光阻線寬粗糙度的方法與設備。
積體電路已經發展成能在單一晶片上包括數百萬個部件(例如電晶體、電容與電阻)的複雜元件。晶片設計的發展持續需要更快速的電路與更大的電路密度。更大的電路密度的需求係需要使得積體電路部件的尺寸縮小。
當積體電路部件的尺寸被縮小(例如達次微米尺寸)時,需要更多構件被放置在半導體積體電路的給定區域中。因此,微影製程對於將甚至更小的特徵結構精確地且準確地轉移到基材上而不造成損壞已經變得越來越富有挑戰性。為了將精確且準確的特徵結構轉移到基材上,期望的高解析度微影製程需要具有適當的光源,該光源能提供在期望波長範圍下的輻射以進行曝光。又,微影製程需要將特徵結構轉移到光阻層上而具有最小的光阻線寬粗糙度(line width roughness,LWR)。畢竟,需要不含缺陷的光罩來將期望的特徵結構轉移到光阻層上。近來,極紫外線(extreme ultraviolet,EUV)輻射源已 經被用來提供短曝光波長,藉此提供更小的最小可印刷尺寸在基材上。然而,在這樣的小尺寸下,光阻層的邊緣的粗糙度已經變得越來越難以控制。
第1圖圖示基材100的示範性俯視立體剖視圖,基材100具有設置在待蝕刻的標靶材料102上的圖案化光阻層104。開口106被界定在圖案化光阻層104之間,而能輕易地暴露下方的標靶材料102以為了蝕刻而將特徵結構轉移到標靶材料102上。然而,不準確控制或低解析度的微影曝光製程會造成在光阻層104中的不良的臨界尺寸控制,藉此導致無法令人接受的LWR 108。大LWR 108的光阻層104會使得不準確的特徵結構轉移到標靶材料102,因而最終導致元件失效與良率損失。
所以,需要能控制與最小化LWR的方法與設備,以獲得具有期望臨界尺寸的圖案化光阻層。
本發明提供用以藉由增強的電子自旋控制來控制與改變光阻層的LWR的方法與設備。在一實施例中,一種用以控制設置在一基材上的一光阻層的一線寬粗糙度的設備包含:一處理腔室,該處理腔室具有一腔室主體,該腔室主體具有一頂壁、一側壁與一底壁,該等壁界定一內部處理區域;一支撐載座,該支撐載座設置在該處理腔室的該內部處理區域中;及一電漿產生器源,該電漿 產生器源設置在該處理腔室中且能運作以主要地提供一電子束源到該內部處理區域。
在另一實施例中,一種用以控制一光阻的線寬粗糙度的方法,該方法包含以下步驟:提供一基材到一處理腔室內,該基材具有一圖案化光阻層;供應一氣體混合物到該處理腔室內;在該氣體混合物中產生一電漿,該電漿具有從該氣體混合物以圓形形式移動的電子;產生一磁場,以增強該電漿中的電子以圓形形式移動到一基材表面;及藉由增強的電子來修整設置在該基材表面上的該圖案化光阻層的一邊緣輪廓。
在另一實施例中,一種用以控制設置在一基材上的一光阻層的線寬粗糙度的方法,該方法包含以下步驟:提供一基材到一處理腔室內,該基材具有設置在該基材上的一圖案化光阻層;供應一氣體混合物到該處理腔室內;在該氣體混合物中產生一電漿;從該電漿汲取出電子;產生一磁場,以增強電子以圓形形式移動到一基材表面;及藉由增強的電漿來修整設置在該基材表面上的該圖案化光阻層的一邊緣輪廓。
在又另一實施例中,一種用以控制設置在一基材上的一光阻層的線寬粗糙度的方法,該方法包含以下步驟:供應一氣體混合物到一處理腔室內,該處理腔室具有設置在該處理腔室中的一基材,其中該基材具有設置在該基材上的一圖案化光阻層;在該處理腔室中從被供應到該處理腔室中的該氣體混合物產生一電漿;施加一電壓 到設置在該處理腔室中的一遮蔽板,以過濾來自該電漿的離子且使溫和的反應性物種離開;引導該等溫和的反應性物種通過一控制板;施加一DC或AC功率到設置在該處理腔室的一外圓周周圍的一或更多個電磁線圈的一群組,以產生一磁場;藉由使該等溫和的反應性物種通過該磁場,而增強該等溫和的反應性物種以圓形形式的移動;及使用該等溫和的反應性物種來修整該圖案化光阻層的一邊緣輪廓。
本發明的實施例包括用以控制設置在基材上的光阻層的LWR的方法與設備。可在曝光/顯影製程之後藉由在光阻層上執行具有增強的電子自旋控制的ICP製程而控制光阻層的LWR。ICP製程被執行以提供具有增強的電子自旋控制的奈米等級的化學與電子磨碾製程,而以足夠的電子自旋動量將光阻層圖案的邊緣予以平滑化,藉此提供具有最小圖案邊緣粗糙度的光阻層的平滑圖案邊緣以用於後續的蝕刻製程。在光阻線邊緣粗糙度最小化製程之後,藉由具有增強的電子自旋控制的ICP製程亦可用以蝕刻設置在基材上的光阻層下方的標靶材料。
第2A圖圖示ICP反應器200的一實施例的示意剖視圖,該ICP反應器200根據本發明適於執行具有增強的電子自旋控制的電漿處理。一種可適於執行本發明的這 樣的蝕刻反應器可從美國加州聖大克勞拉市的應用材料公司取得。可設想出的是在此亦可利用其他適當的電漿處理腔室,包括來自其他製造業者的電漿處理腔室。
電漿反應器200包括處理腔室248,處理腔室248具有腔室主體210。處理腔室248是具有真空泵228和處理腔室248耦接的高真空容室。處理腔室248的腔室主體210包括頂壁222、側壁224與底壁226,這些壁界定內部處理區域212在處理腔室248中。使用含液體導管(未圖示)來控制側壁224的溫度,其中該等含液體導管位在側壁224中與/或位在側壁224周圍。底壁226連接到電氣接地230。
處理腔室248包括支撐載座214。支撐載座214延伸穿過處理腔室248的底壁226到內部處理腔室212中。支撐載座214可接收待設置於支撐載座214上的基材250,以為了進行處理。
電漿產生器源202接附到腔室主體210的頂部,電漿產生器源202設以供應電子到內部處理區域212。複數個線圈208可設置在電漿產生器源202周圍,以有助於從電漿產生器源202建立感應耦合電漿。
處理氣體可從耦接到處理腔室248的氣體源206被引導到內部處理區域212。來自氣體源206的處理氣體經由電漿產生器源202被供應到內部處理區域212。從功率源施加電流到線圈208,此建立能分解處理氣體的電場。被線圈208分解的處理氣體形成待輸送到內部處理 區域212以為了進行處理的電子束249。
一或更多個線圈區段或電磁線圈221(圖示成221A和221B)的群組設置在鄰近內部處理區域212的腔室主體210的下部211的外圓周周圍。由DC功率源或低頻AC功率源(未圖示)來控制到線圈區段或磁鐵221的功率。電磁線圈221在垂直於基材表面的方向上產生電場,其中電子束249被引導到處理腔室248內。由於來自電子束249的電子可能不具有足夠的動量向下抵達到內部處理區域212而進一步向下抵達到基材250的上表面253,該等線圈區段或電磁線圈221的群組可設置在腔室主體210的下部211處(例如靠近內部處理區域212),以增強向下抵達到基材250的上表面253的電子的自旋與/或旋轉。從該等線圈區段或電磁線圈221的群組所產生的電場與磁場之間的交互作用使得電子束249具有向下抵達到基材250的表面的增強的電子自旋與/或旋轉動量。應注意的是亦可使用能夠產生足夠磁場強度而促進電子束(電子-束)源的其他磁場源。
在一實施例中,遮蔽板262設置在處理腔室248中而位於支撐載座214上方。遮蔽板262是包含有複數個穿孔270的實質上平坦的板。遮蔽板262可從和處理需求相容的各種材料製成,而包含有界定遮蔽板262中的期望開放區域的一或更多個穿孔270。在一實施例中,遮蔽板262可從一材料製成,該材料選自從銅或銅塗覆陶瓷所構成的群組。遮蔽板262的開放區域(即穿孔270的 尺寸與密度)有助於控制抵達基材250的上表面253上方的內部處理區域212的離子/電子的量,該等離子/電子主要由電子束以及少量的從電漿產生器源202所形成的離子構成。因此,遮蔽板262作為離子/電子過濾器(或電子控制器),該離子/電子過濾器能控制通過遮蔽板262而抵達基材250的上表面253的空間中的電子密度與/或離子密度。
在處理期間,可施加來自功率源260的電壓到遮蔽板262。被施加在遮蔽板262上的電壓電位會吸引來自電漿的離子,藉此有效率地過濾來自電漿的離子,同時能容許僅中性物種(諸如自由基與電子)通過遮蔽板262的穿孔270。因此,藉由減少/過濾通過遮蔽板262的離子的量,可以更加受控的方式來進行藉由中性物種、自由基或電子(即溫和的反應性物種)對被形成在基材上的結構的磨碾或平滑化。所以,溫和的反應性物種可降低會使基材表面粗糙化的非期望之腐蝕濺射或過於激烈之離子轟擊的可能性,藉此導致精確的平滑化效能與臨界尺寸均勻性。可在足以吸引或保留來自電漿的離子的範圍下來施加被施加到遮蔽板262的電壓,藉此驅逐來自電漿中所產生的離子的中性物種、自由基或電子。因此,遮蔽板262從電漿汲取溫和的反應性物種。在一實施例中,從功率源260施加介於約50伏特DC與約200伏特DC之間的電壓到遮蔽板262。在另一實施例中,遮蔽板262從電漿所汲取的溫和的反應性物種主要是電子。
控制板264設置在遮蔽板262下方且在支撐載座214上方。控制板264具有複數個穿孔268,該等穿孔268能容許被過濾通過遮蔽板262的中性物種、自由基或電子通過穿孔268到內部處理區域212中。控制板264被設置成使得控制板264以預定距離266和遮蔽板262呈相隔關係。在另一實施例中,控制板264接附到遮蔽板262而使得控制板264與遮蔽板262之間具有最小的空間。在一實施例中,遮蔽板262與控制板264之間的距離266小於約20 mm。
來自功率源251的電壓可被施加到控制板264以建立電壓電位(例如電氣電位),該電壓電位會和從線圈區段或電磁線圈221(圖示成221A與221B)的群組所產生的磁場發生交互作用。控制板264所產生的電氣電位以及線圈區段或電磁線圈221的群組所產生的磁場有助於且可增強維持足夠的動量與能量以保持中性物種、自由基或電子自旋向下抵達基材250的上表面253。又,通過控制板264的穿孔268的中性物種、自由基或電子可被引導於預定路徑中,藉此限制中性物種、自由基或電子的軌道於預定路徑中以抵達基材250的上表面253上的期望區域。當中性物種、自由基或電子通過控制板264時,磁場可使得通過的中性物種、自由基或電子保持以圓形形式移動且自旋而朝向基材250的上表面253。自旋電子必須以足夠動量來磨碾結構到被形成在基材250的上表面253上的結構的底部。
在一實施例中,控制板264可具有不同的材料或不同的特性。控制板264可包含超過一個區塊或區段,該等區塊或區段具有彼此不同的至少一特性。例如,控制板264可含有多個具有不同組態(包括各種幾何形態(例如尺寸、形狀與開放區域))的區塊,並且該等區塊可從相同或不同的材料製成或可適配以具有不同的電位偏壓或不同的功率。藉由提供區塊組態、材料、功率與/或電位偏壓的組合,可以局部化的方式改變電漿中的中性物種、自由基與電子的空間分佈,而容許製程特性的客製化,諸如平滑化均勻性或局部升高或降低的平滑化速率(例如訂製以使基材的不同部分中具有不同圖案密度)等。這樣的多區塊控制板264可用以主動地控制中性物種、自由基與電子分佈,並且因此容許增強的製程控制。將在下文參照第7-9圖進一步討論控制板264的更多實施例。
在基材處理期間,處理腔室248的內部中的氣體壓力可被控制在預定的範圍中。在一實施例中,處理腔室248的內部處理區域212中的氣體壓力被維持在約0.1至999 mTorr。基材250可被維持在介於約10℃至約500℃的溫度。
又,處理腔室248可包括平移機構272,平移機構272設以相對於彼此平移支撐載座214與控制板264。在一實施例中,平移機構272耦接到支撐載座214,以相對於控制板264橫向地移動支撐載座214。在另一實施例 中,平移機構272耦接到電漿產生器源202與/或控制板264與/或遮蔽板262,以相對於支撐載座214橫向地移動電漿產生器源202與/或控制板264與/或遮蔽板262。在又另一實施例中,平移機構272相對於支撐載座214橫向地移動電漿產生器源202、控制板264與遮蔽板262的一或更多者。可使用任何適當的平移機構,諸如傳送器系統、軌道與齒輪系統、x/y致動器、機械人、電子馬達、氣動致動器、液壓致動器或其他適當的機構。
平移機構272可耦接到控制器240,以控制支撐載座214與電漿產生器源202與/或控制板264與/或遮蔽板262相對於彼此而移動的掃瞄速度。此外,支撐載座214與電漿產生器源202與/或控制板264與/或遮蔽板262相對於彼此的平移可設以沿著垂直於抵達基材250的上表面253的中性物種、自由基或電子的預定軌道274。在一實施例中,平移機構272以約2毫米/秒(mm/s)的恆定速度移動。在另一實施例中,支撐載座214與電漿產生器源202與/或控制板264與/或遮蔽板262相對於彼此的平移可沿著其他期望的路徑而移動。
控制器240包括中央處理單元(CPU)244、記憶體242、與支援電路246,控制器240耦接到反應器200的各種部件以促進本發明的製程的控制。記憶體242可以是任何電腦可讀媒體,諸如隨機存取記憶體(RAM)、唯讀記憶體(RCM)、軟碟、硬碟或任何其他形式的數位儲存器,無論相對於反應器200或CPU 244為當地或遠端的皆 可。支援電路246耦接到CPU 244,以用於以習知方式來支援CPU 244。這些電路包括快取、功率供應、時脈電路、輸入/輸出電路與子系統及諸如此類者。被儲存在記憶體242中的軟體常式(software routine)或一系列程式指令在被CPU 244執行時能使得反應器執行本發明的電漿製程。
第2A圖僅圖示可用以實施本發明的電漿反應器的一示範性組態。例如,其他類型的反應器可利用不同類型的電漿功率與磁功率,其中使用不同的耦合機構將該不同類型的電漿功率與磁功率耦合到電漿腔室內。在一些應用中,可在和基材所位在其中的腔室不同的腔室中產生不同類型的電漿,例如遠端電漿源以及使用此技藝中已知的技術而後續地被引導到腔室內的電漿。
第3圖圖示根據本發明的一實施例通過第2圖所圖示的控制板264的電子軌道圖。由於經過濾而通過遮蔽板262的中性物種、自由基與電子(例如電子束源)朝向基材250的上表面253被加速,經過濾而後續地通過控制板264的中性物種、自由基與電子(例如電子束源)可被限制通過被形成在控制板264中的穿孔268。由於電磁線圈221的群組設置在控制板264周圍,通過控制板264的中性物種、自由基與電子(例如電子束源)可保持環繞運行於且向下行進於由控制板264的穿孔268所限制的預定軌道274中,且抵達基材250的上表面253上的期望區域。藉由控制板264的利用,可以增強的電子自旋 動量的方式來有效率地控制中性物種、自由基與電子(例如電子束源)的軌道274,以使電子深深地向下行進到被形成在基材上的結構的底部,同時能持續繞著水平平面自旋,以致電子能磨碾且平滑化來自被形成在基材250上的結構的邊緣的粗糙度。
第4圖圖示根據本發明的一實施例執行光阻LWR控制製程400的一實施例的流程圖。製程400能以指令被儲存在記憶體242中,其中該等指令被控制器240執行以使製程400能被執行於ICP處理腔室(諸如第2A圖所圖示的ICP反應器200或其他適當的反應器)中。
製程400開始於方塊402,其是藉由將基材(諸如第2A圖所圖示的基材250)傳送到處理腔室248內以進行處理。基材250可具有設置在基材250上的待蝕刻的標靶材料512(如第6圖所圖示),標靶材料512設置在光阻層514下方。在一實施例中,使用光阻LWR控制製程400待蝕刻的標靶材料512可以是介電層、金屬層、陶瓷層或其他適當的材料。在一實施例中,待蝕刻的標靶材料512可以是被形成為用在半導體製造中的閘極結構或接觸結構或層間介電結構(inter-layer dielectric structure,ILD)的介電材料。介電材料的適當實例包括SiO2、SiON、SiN、SiC、SiOC、SiOCN、非晶碳(a-C)或諸如此類者。在另一實施例中,待蝕刻的標靶材料512可以是被形成為金屬間介電結構(inter-metal dielectric structure,IMD)或其他適當結構的金屬材料。金屬層的適 當實例包括Cu、Al、W、Ni、Cr或諸如此類者。
在方塊404,可在基材250上執行光阻LWR控制製程400,以磨碾、改變且修整光阻層514的邊緣516,如第5圖所圖示。提供電子源以執行光阻LWR控制製程400。在一實施例中,藉由在處理腔室248中產生ICP來提供電子。由設置在處理腔室248中的電漿產生器源202來產生ICP。如上所討論,所產生的電漿可包括不同類型的反應性物種,諸如電子、電荷、離子、中性物種等,該等反應性物種可帶正電或負電。經激發的電漿用以汲取電子,其中該等電子以圓形運動朝向基材250的上表面253移動且加速。
在方塊406,由於電漿朝向基材表面行進,電漿接著通過設置在處理腔室248中的遮蔽板262。電壓被施加到遮蔽板262以建立電壓電位,而吸引來自電漿的離子,藉此有效率地過濾來自電漿的離子,同時能容許僅中性物種(諸如自由基與電子(例如電子束源))通過遮蔽板262的穿孔270到基材表面。在一實施例中,從功率源260施加介於約50伏特DC與約200伏特DC之間的電壓到遮蔽板262。
在方塊408,在經過濾的電漿(例如電子束源)通過遮蔽板262之後,經過濾的電漿(例如電子束源)接著行進通過控制板264。控制板264可限制通過控制板264的經過濾的電漿到預定路徑,而增加經過濾的電漿(例如電子束源)的準直,以致溫和的反應性物種能墜落在基材250 的上表面253的特定區域。經過濾的電漿(例如電子束源)被加速以維持從電磁線圈221的群組所產生的磁場所循環的實質上螺旋移動,以致溫和的反應性物種具有足夠的動量來維持向下抵達基材250的上表面253的自旋運動。被施加到控制板264的功率可產生電場,電場和從電磁線圈221的群組所產生的磁場發生交互作用,以增強/維持溫和的反應性物種的螺旋運動,以致提供足夠的動量與能量而保持溫和的反應性物種能向下自旋到基材250的上表面253。自旋電子能因此以足夠動量一路磨碾結構到被形成在基材250的上表面253上的結構的底部。
在方塊410,可在電漿引發製程期間調整、磨碾、改變、控制光阻層514的LWR。如第5圖所圖示,電子的圓形移動504可平滑地磨碾、撞擊且研磨去除掉光阻層514的不平坦邊緣516。可持續地執行製程,直到達到光阻層514的期望的粗糙度程度(例如筆直性(如虛線510所圖示))。藉由電子動量的良好控制,來自光阻層514的邊緣516的不平坦表面與突出部可逐漸地被平坦化,藉此有效率地控制光阻LWR在期望的最小範圍內。可藉由從磁場與電場以及被供應的氣體之間的交互作用所產生的功率來控制電子動量或中性物種濃度。在一實施例中,藉由調整被供應用以產生電漿功率與磁場的功率,可獲得不同的電子動量或流動性。
在一實施例中,可藉由使用具有不同材料或不同特性的不同控制板264來控制電子與/或中性物種(例如電子 束源)的分佈。將在下文參照第7-9圖進一步討論具有不同材料或不同特性的控制板264的更多實施例。
在處理期間,在方塊410,可控制一些製程參數以維持光阻層514的LWR在期望範圍。在一實施例中,可供應介於約50瓦與約2000瓦之間的電漿功率到處理腔室。處理腔室中的線圈或磁區段208的第一群組中所產生的磁場可被控制在介於約500高斯(G)與約1000 G之間。介於約100瓦與約2000瓦之間的DC與/或AC功率可用以在處理腔室中產生磁場。處理腔室中的電磁線圈221的群組中所產生的磁場可被控制在介於約100 G與約200 G之間。介於約100瓦與約2000瓦之間的DC與/或AC功率可被施加到控制板264,以在處理腔室中產生磁場。介於約50伏特DC與約200伏特DC之間的電壓被施加到遮蔽板262,以過濾從電漿產生器202所產生的電漿。處理腔室的壓力可被控制在介於約0.5 mTorr與約500 mTorr之間。可供應處理氣體到處理腔室內以有助於改變、修整與控制光阻層514的邊緣粗糙度。由於經選擇用於光阻層514的材料常常是有機材料,可選擇含氧氣體作為待供應到處理腔室內的處理氣體,以有助於磨碾與改變光阻層514的粗糙度與輪廓。含氧氣體的適當實例包括O2、N2O、NO2、O3、H2O、CO、CO2與諸如此類者。亦可同時地或個別地供應其他類型的處理氣體到處理腔室內,以有助於改變光阻層514的粗糙度。處理氣體的適當實例包括N2、NH3、Cl2或惰性氣體 (諸如Ar或He)。可以介於約10 sccm至約500 sccm之間的流速(例如約介於約100 sccm至約200 sccm之間)將處理氣體供應到處理腔室內。可執行製程長達介於約30秒與約200秒之間。在特定實施例中,O2氣體被供應成作為處理氣體到處理腔室內,以和光阻層514反應,而修整與改變設置在基材250上的光阻層514的LWR。
可持續地執行光阻LWR控制製程400,直到達到光阻層514的期望最小粗糙度。在一實施例中,光阻層514的線寬粗糙度513可被控制在小於約3.0 nm的範圍中,諸如介於約1.0 nm與約1.5 nm之間。可在達到顯示光阻層514的期望粗糙度被達成的終點訊號之後終止光阻LWR控制製程400。或者,可藉由預設時間模式來終止光阻LWR控制製程400。在一實施例中,可執行光阻LWR控制製程400長達介於約100秒與約500秒之間。
第6圖圖示光阻層514的剖視圖的示範性實施例,該光阻層514已經具有光阻LWR控制製程400被執行在該光阻層514上。在執行光阻LWR控制製程400之後,可獲得平滑的邊緣表面。以將邊緣粗糙度予以最小化且將光阻層514的邊緣形態予以平滑化的方式來平滑化且修整光阻層514的粗糙度。被形成在光阻層514中的平滑的邊緣表面係在圖案化光阻層514中界定陡且良好界定的開口604,以暴露下方的用於蝕刻的標靶材料512,藉此蝕刻精確且平直的被形成作為罩幕層的開口寬度606。在一實施例中,開口604的寬度606可被控制在介 於約15 nm與約35 nm之間。
在一實施例中,可藉由在和用以執行LWR控制製程的相同腔室(諸如第2圖所圖示的處理腔室248)中所執行的蝕刻製程來蝕刻下方的標靶材料512。在另一實施例中,可藉由在任何其他不同的適當蝕刻腔室中所執行的蝕刻製程來蝕刻下方的標靶材料512,其中該任何其他不同的適當蝕刻腔室被整合在群集系統中,LWR處理腔室可被併入到該群集系統中。在又另一實施例中,可藉由在任何其他不同的適當蝕刻腔室中所執行的蝕刻製程來蝕刻下方的標靶材料512,其中該任何其他不同的適當蝕刻腔室包括和LWR處理腔室分離或和被併入有LWR處理腔室的群集系統分離的獨立腔室。
在一實施例中,用以執行LWR製程的氣體混合物設以不同於用以蝕刻下方的標靶材料512的氣體混合物。在一實施例中,用以執行LWR製程的氣體混合物包括含氧氣體(諸如O2),並且用以蝕刻下方的標靶材料512的氣體混合物包括含鹵素氣體(諸如氟碳氣體、含氯氣體、含溴氣體、含氟氣體與諸如此類者)。
第7圖圖示板700的一實施例,該板700具有在各種配置中的不同區塊。在第7圖所圖示的實施例中,板700具有被配置在同心環中的不同區塊702、704、706。板700可被用作成第2A圖的實施例中的控制板或遮蔽板的一或兩者。例如,同心環組態對於補償由於腔室中非均勻氣流形式所造成的電漿非均勻性(在徑向方向上)是有 用的。
第8圖圖示板800的另一實施例,該板800具有在各種配置中的不同區塊。板800可被用作成第2A圖的實施例中的控制板或遮蔽板的一或兩者。在第8圖所圖示的實施例中,板800設以具有基於特定罩幕圖案的多個區塊或區段,以為了達到在基材表面上所造成的不同的平滑化速率。板800被分隔成兩個區塊802、804,區塊802、804的空間組態對應到具有不同圖案密度的罩幕上的各自區域或和該等各自區域相關。例如,若區塊802對應到罩幕上的一區域且該區域需要比罩幕的其他部分具有相對更高的平滑化速率,則區塊802可被提供有更大直徑的穿孔806。或者,區塊802、804可從具有不同介電接觸與/或不同電位偏壓的材料製成,以提供不同的電子(與/或中性物種)自旋或旋轉速率。
第9圖圖示板900的又另一實施例,該板900具有在各種配置中的不同區塊。板900可被用作成第2A圖的實施例中的控制板或遮蔽板的一或兩者。在第9圖所圖示的實施例中,板900設以具有複數個區塊或區段902、904、906、908。至少兩區塊從和製程化學相容的不同材料製成。至少兩區塊可獨立地被偏壓,以維持介於該等被偏壓的區塊之間的電位差。具有不同介電常數或不同電位偏壓的材料的使用能容許使用者調控電漿特性或不同旋轉速度與動量。此外,可以任何組合或組態來配置位在板900的不同區塊902、904、906、908中的穿孔 910、912、914、916的尺寸。
因此,本發明提供用以藉由增強的電子自旋動量來控制與改變光阻層的LWR的方法與設備。此方法與設備可在曝光製程之後有利地控制、改變與修整設置在基材上的光阻層的輪廓、線寬粗糙度與尺寸,藉此提供光阻層中的開口的準確的臨界尺寸控制,而使後續的蝕刻製程能經由開口準確地轉移臨界尺寸到被蝕刻的下方層。
儘管前述說明導向本發明的實施例,可在不悖離本發明的基本範疇下設想出本發明的其他與進一步實施例,並且本發明的範疇是由隨附的申請專利範圍來決定。
200‧‧‧感應耦合電漿(ICP)反應器
202‧‧‧電漿產生器源
206‧‧‧氣體源
208‧‧‧線圈/磁區段
210‧‧‧腔室主體
211‧‧‧下部
212‧‧‧內部處理區域
214‧‧‧支撐載座
221‧‧‧電磁線圈/磁鐵
222‧‧‧頂壁
224‧‧‧側壁
226‧‧‧底壁
228‧‧‧真空泵
230‧‧‧電氣接地
240‧‧‧控制器
242‧‧‧記憶體
244‧‧‧CPU
246‧‧‧支援電路
248‧‧‧處理腔室
249‧‧‧電子束
250‧‧‧基材
251‧‧‧功率源
253‧‧‧上表面
260‧‧‧功率源
262‧‧‧遮蔽板
264‧‧‧控制板
266‧‧‧預定距離
268‧‧‧穿孔
270‧‧‧穿孔
272‧‧‧平移機構
274‧‧‧預定軌道
400‧‧‧製程
402-410‧‧‧方塊
504‧‧‧圓形移動
510‧‧‧虛線
512‧‧‧標靶材料
513‧‧‧線寬粗糙度
514‧‧‧光阻層/圖案化光阻層
516‧‧‧邊緣
604‧‧‧開口
606‧‧‧寬度
700‧‧‧板
702‧‧‧區塊
704‧‧‧區塊
706‧‧‧區塊
800‧‧‧板
802‧‧‧區塊
804‧‧‧區塊
806‧‧‧穿孔
900‧‧‧板
902‧‧‧區段/區塊
904‧‧‧區段/區塊
906‧‧‧區段/區塊
908‧‧‧區段/區塊
910‧‧‧穿孔
912‧‧‧穿孔
914‧‧‧穿孔
916‧‧‧穿孔
可藉由參考本發明的實施例來詳細暸解且獲得本發明的上述特徵,本發明的更特定說明簡短地在前面概述過,其中該些實施例在附圖中圖示。
第1圖圖示圖案化光阻層的示範性結構的俯視立體剖視圖,圖案化光阻層設置在此技藝中習知的基材上。
第2A圖圖示感應耦合電漿(ICP)反應器的示意剖視圖,該ICP反應器根據本發明的一實施例具有增強的電子自旋控制。
第2B圖圖示根據本發明的一實施例的電子軌道圖。
第3圖圖示通過設置在第2圖所圖示的ICP反應器中的束控制板的電子軌道圖。
第4圖圖示根據本發明的一實施例執行光阻線寬粗糙度控制製程的一實施例的流程圖。
第5圖圖示根據本發明的一實施例的鄰近光阻層而行進的電子軌道的俯視圖。
第6圖圖示根據本發明的一實施例的設置在基材上的光阻層的線寬粗糙度的輪廓。
第7圖圖示控制板與/或遮蔽板的一實施例。
第8圖圖示控制板與/或遮蔽板的另一實施例。
第9圖圖示控制板與/或遮蔽板的又另一實施例。
為促進瞭解,在可能時使用相同的元件符號來表示該等圖式共有的相同元件。應瞭解,一實施例的元件與特徵可有利地併入到其他實施例而不需特別詳述。
但是應注意的是,附圖僅圖示本發明的示範性實施例,因此附圖不應被視為會對本發明範疇構成限制,這是因為本發明可允許其他等效實施例。
200‧‧‧感應耦合電漿(ICP)反應器
202‧‧‧電漿產生器源
206‧‧‧氣體源
208‧‧‧線圈/磁區段
210‧‧‧腔室主體
211‧‧‧下部
212‧‧‧內部處理區域
214‧‧‧支撐載座
221‧‧‧電磁線圈/磁鐵
222‧‧‧頂壁
224‧‧‧側壁
226‧‧‧底壁
228‧‧‧真空泵
230‧‧‧電氣接地
240‧‧‧控制器
242‧‧‧記憶體
244‧‧‧CPU
246‧‧‧支援電路
248‧‧‧處理腔室
249‧‧‧電子束
250‧‧‧基材
251‧‧‧功率源
253‧‧‧上表面
260‧‧‧功率源
262‧‧‧遮蔽板
264‧‧‧控制板
266‧‧‧預定距離
268‧‧‧穿孔
270‧‧‧穿孔
272‧‧‧平移機構
274‧‧‧預定軌道

Claims (20)

  1. 一種用以控制設置在一基材上的一光阻層的一線寬粗糙度的設備,包含:一處理腔室,該處理腔室具有一腔室主體,該腔室主體具有一頂壁、一側壁與一底壁,該等壁界定一內部處理區域;一支撐載座,該支撐載座設置在該處理腔室的該內部處理區域中;及一電漿產生器源,該電漿產生器源設置在該處理腔室中且能運作以主要地提供一電子束源到該內部處理區域。
  2. 如請求項1所述之設備,更包含:一遮蔽板,該遮蔽板設置在該處理腔室中且能運作以過濾來自該電漿的離子與通過電子。
  3. 如請求項2所述之設備,更包含:一控制板,該控制板設置在該處理區域中而介於該遮蔽板與該支撐載座之間。
  4. 如請求項3所述之設備,更包含:一功率源,該功率源耦接到該控制板。
  5. 如請求項3所述之設備,其中該控制板包含被形成在該控制板中的複數個區塊,至少兩區塊包含不同的材料或不同的電位偏壓。
  6. 如請求項2所述之設備,更包含:一功率源,該功率源耦接到該遮蔽板。
  7. 如請求項2所述之設備,其中該遮蔽板包含被形成在該遮蔽板中的複數個區塊,至少兩區塊包含不同的材料或不同的電位偏壓。
  8. 如請求項3所述之設備,其中該控制板接附到該遮蔽板。
  9. 如請求項3所述之設備,其中該控制板具有被形成在該控制板中的複數個穿孔。
  10. 如請求項1所述之設備,其中該遮蔽板具有被形成在該遮蔽板中的複數個穿孔。
  11. 如請求項1所述之設備,更包含:一磁鐵或一或更多個電磁線圈的一群組,其設置在鄰近該腔室主體的該內部處理區域的該腔室主體的一外圓周周圍。
  12. 一種用以控制設置在一基材上的一光阻層的線寬粗糙度的方法,該方法包含以下步驟:提供一基材到一處理腔室內,該基材具有設置在該基材上的一圖案化光阻層;供應一氣體混合物到該處理腔室內;在該氣體混合物中產生一電漿,該電漿具有從該氣體混合物以一圓形形式移動的電子;產生一磁場,以增強該電漿中的電子以該圓形形式移動到一基材表面;及藉由增強的電子來修整設置在該基材表面上的該圖案化光阻層的一邊緣輪廓。
  13. 如請求項12所述之方法,其中產生該電漿的步驟更包含以下步驟:過濾來自該電漿的離子。
  14. 如請求項13所述之方法,更包含以下步驟:引導經過濾的電子通過該磁場
  15. 如請求項12所述之方法,其中產生該磁場的步驟更包含以下步驟:施加一DC或AC功率到設置在該處理腔室的外圓周周圍的一或更多個電子線圈。
  16. 如請求項12所述之方法,其中該氣體混合物包含一含氧氣體。
  17. 一種用以控制設置在一基材上的一光阻層的線寬粗糙度的方法,該方法包含以下步驟:供應一氣體混合物到一處理腔室內,該處理腔室具有設置在該處理腔室中的一基材,其中該基材具有設置在該基材上的一圖案化光阻層;在該處理腔室中從被供應到該處理腔室中的該氣體混合物產生一電漿;施加一電壓到設置在該處理腔室中的一遮蔽板,以過濾來自該電漿的離子且使溫和的反應性物種離開;引導該等溫和的反應性物種通過一控制板;施加一DC或AC功率到設置在該處理腔室的一外圓周周圍的一或更多個電磁線圈的一群組,以產生一磁場;藉由通過該磁場中經過濾的電漿,而增強該等溫和的反應性物種以圓形形式的移動;及使用該等溫和的反應性物種來修整該圖案化光阻層的一邊緣輪廓。
  18. 如請求項17所述之方法,其中引導經過濾的電漿的 步驟更包含以下步驟:施加一功率到該控制板。
  19. 如請求項17所述之方法,其中供應該氣體混合物的步驟更包含以下步驟:供應一含氧氣體到該處理腔室內。
  20. 如請求項17所述之方法,其中該等溫和的反應性物種包括中性自由基與電子。
TW101114736A 2011-06-15 2012-04-25 調控增強的電子自旋以控制光阻線寬粗糙度之方法與設備 TW201308021A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161497370P 2011-06-15 2011-06-15

Publications (1)

Publication Number Publication Date
TW201308021A true TW201308021A (zh) 2013-02-16

Family

ID=47352853

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101114736A TW201308021A (zh) 2011-06-15 2012-04-25 調控增強的電子自旋以控制光阻線寬粗糙度之方法與設備

Country Status (3)

Country Link
US (2) US20120318773A1 (zh)
TW (1) TW201308021A (zh)
WO (1) WO2012173698A1 (zh)

Families Citing this family (272)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8975189B2 (en) * 2012-09-14 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming fine patterns
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102233577B1 (ko) 2014-02-25 2021-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6088083B1 (ja) * 2016-03-14 2017-03-01 株式会社東芝 処理装置及びコリメータ
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) * 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN113795908A (zh) 2019-04-08 2021-12-14 应用材料公司 用于修改光刻胶轮廓和调整临界尺寸的方法
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4684848A (en) * 1983-09-26 1987-08-04 Kaufman & Robinson, Inc. Broad-beam electron source
JP3056772B2 (ja) * 1990-08-20 2000-06-26 株式会社日立製作所 プラズマの制御方法ならびにプラズマ処理方法およびその装置
US5462629A (en) * 1992-08-28 1995-10-31 Kawasaki Steel Corp. Surface processing apparatus using neutral beam
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US7018780B2 (en) * 1999-06-25 2006-03-28 Lam Research Corporation Methods for controlling and reducing profile variation in photoresist trimming
US6291940B1 (en) * 2000-06-09 2001-09-18 Applied Materials, Inc. Blanker array for a multipixel electron source
JP4039834B2 (ja) * 2001-09-28 2008-01-30 株式会社荏原製作所 エッチング方法及びエッチング装置
US7157377B2 (en) * 2004-02-13 2007-01-02 Freescale Semiconductor, Inc. Method of making a semiconductor device using treated photoresist
DE602004017958D1 (de) * 2004-04-01 2009-01-08 St Microelectronics Srl he für Plasma- und/oder Ionenimplantationsbehandlung auf einem Halbleiterwafer zu definieren
US20070049048A1 (en) * 2005-08-31 2007-03-01 Shahid Rauf Method and apparatus for improving nitrogen profile during plasma nitridation
KR100653073B1 (ko) * 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
WO2012173699A1 (en) * 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes

Also Published As

Publication number Publication date
WO2012173698A1 (en) 2012-12-20
US20160064197A1 (en) 2016-03-03
US9911582B2 (en) 2018-03-06
US20120318773A1 (en) 2012-12-20

Similar Documents

Publication Publication Date Title
US9911582B2 (en) Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
TWI489521B (zh) 用於執行多光阻層顯影與蝕刻製程的方法與設備
US9039910B2 (en) Methods and apparatus for controlling photoresist line width roughness
KR102364322B1 (ko) 에칭 방법
JP5421527B2 (ja) フォトマスクのプラズマエッチング方法及び装置
CN107068559B (zh) 具有离子加速器的双室等离子体蚀刻器
JP6462477B2 (ja) 被処理体を処理する方法
KR102311575B1 (ko) 피처리체를 처리하는 방법
KR102390726B1 (ko) 유기막을 에칭하는 방법
JP6366454B2 (ja) 被処理体を処理する方法
US20220051904A1 (en) Etching method
KR20150053926A (ko) 피처리 기체를 처리하는 방법 및 플라즈마 처리 장치
KR102386268B1 (ko) 원하는 치수들로 재료 층을 패터닝하기 위한 방법
KR970005035B1 (ko) 플라즈마발생방법 및 그 장치
TWI707382B (zh) 被處理體之處理方法
US9177824B2 (en) Photoresist treatment method by low bombardment plasma
KR102362282B1 (ko) 피처리체를 처리하는 방법
WO2020161879A1 (ja) ドライエッチング方法及びドライエッチング装置
JP2023053351A (ja) プラズマ処理装置
US6737358B2 (en) Plasma etching uniformity control
TWI786533B (zh) 電漿處理裝置
KR20210035073A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
TW200302694A (en) Etching method and etching device
JPH04268727A (ja) ドライエッチング方法およびドライエッチング装置