KR102364322B1 - 에칭 방법 - Google Patents

에칭 방법 Download PDF

Info

Publication number
KR102364322B1
KR102364322B1 KR1020150063089A KR20150063089A KR102364322B1 KR 102364322 B1 KR102364322 B1 KR 102364322B1 KR 1020150063089 A KR1020150063089 A KR 1020150063089A KR 20150063089 A KR20150063089 A KR 20150063089A KR 102364322 B1 KR102364322 B1 KR 102364322B1
Authority
KR
South Korea
Prior art keywords
gas
etching
layer
plasma
oxide layer
Prior art date
Application number
KR1020150063089A
Other languages
English (en)
Other versions
KR20150128582A (ko
Inventor
히카루 와타나베
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150128582A publication Critical patent/KR20150128582A/ko
Application granted granted Critical
Publication of KR102364322B1 publication Critical patent/KR102364322B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 스루풋을 저하시키지 않고, 산화실리콘으로 구성되는 영역을 선택적으로 에칭하는 것을 목적으로 한다.
플라즈마 처리 장치의 처리 용기 내에서, 산화실리콘으로 구성된 산화층을 갖는 피처리체로부터 이 산화층을 선택적으로 에칭하는 방법이 제공된다. 이 방법은, (a) 처리 용기 내에서, 수소, 질소, 및 불소를 함유하는 가스의 플라즈마를 생성하고, 상기 산화층을 변질시켜, 변질층을 형성하는 공정과, (b) 변질층을 형성하는 공정 후, 처리 용기 내에서 2차 전자를 피처리체에 조사함으로써 변질층을 제거하는 공정으로서, 처리 용기 내에 양이온을 플라즈마를 생성하고, 또한, 플라즈마 처리 장치의 상부 전극에 음의 직류 전압을 인가함으로써, 양이온을 상기 상부 전극에 충돌시켜, 이 상부 전극으로부터 2차 전자를 방출시키는 상기 공정을 포함한다.

Description

에칭 방법{ETCHING METHOD}
본 발명의 실시형태는 에칭 방법에 관한 것이다.
반도체 디바이스의 제조에서는 피처리체의 일부 영역을 선택적으로 제거하는 처리가 행해지는 경우가 있다. 예컨대 피처리체로부터 산화실리콘막을 선택적으로 제거하는 방법으로서, 하기의 특허문헌 1에 기재된 방법이 알려져 있다.
특허문헌 1에 기재된 방법에서는, 화학 처리 챔버 내에서 HF 및 NH3와 산화실리콘이 반응함으로써, (NH4)2SiF6가 생성된다. 즉, 상기 반응에 의해, 산화실리콘막의 표면이 변질된다. 계속해서, 피처리체를 화학 처리 챔버와는 별도의 열처리 챔버로 반송하고, 이 열처리 챔버 내에서 피처리체를 가열함으로써, 변질된 층의 (NH4)2SiF6를 열분해시킨다. 특허문헌 1에 기재된 처리에서는, 이러한 변질과 열분해에 의해 산화실리콘막을 선택적으로 제거하고 있다. 또한, 특허문헌 2에는, NH3 및 NF3를 함유하는 가스의 플라즈마에 의해, 탄소 함유막을 변질시키고, 변질된 층을 열분해에 의해 제거하는 처리가 기재되어 있다.
일본 특허 공표 제2007-515074호 공보 일본 특허 공표 제2013-503482호 공보
상기 특허문헌 1에 기재된 방법에서는, 열처리에 의해 변질된 층을 제거하기 위해서, 화학 처리 챔버 내에서 산화실리콘막의 표면을 변질시킨 후에, 이 피처리체를 화학 처리 챔버로부터 열처리 챔버로 반송해야만 한다. 이 때문에, 특허문헌 1에 기재된 방법에서는, 피처리체를 반송하는 분만큼 피처리체의 처리 스루풋이 저하되고, 그 결과, 생산성이 저하되게 된다.
따라서, 해당 기술분야에서는, 스루풋을 저하시키지 않고, 산화실리콘으로 구성되는 영역을 선택적으로 에칭하는 것이 가능한 에칭 방법이 요청되고 있다.
일 측면에 있어서는, 플라즈마 처리 장치의 처리 용기 내에서, 산화실리콘으로 구성된 영역을 갖는 피처리체로부터 이 영역을 선택적으로 에칭하는 방법이 제공된다. 이 방법은, (a) 처리 용기 내에서, 수소, 질소, 및 불소를 함유하는 가스의 플라즈마를 생성하고, 상기 산화층을 변질시켜, 변질층을 형성하는 공정(이하, 「공정 (a)」라고 함)과, (b) 변질층을 형성하는 공정 후, 처리 용기 내에서 2차 전자를 피처리체에 조사함으로써 변질층을 제거하는 공정으로서, 처리 용기 내에 양이온을 갖는 플라즈마를 생성하고, 또한, 플라즈마 처리 장치의 상부 전극에 음의 직류 전압을 인가함으로써, 양이온을 상기 상부 전극에 충돌시켜, 이 상부 전극으로부터 2차 전자를 방출시키는 상기 공정(이하, 「공정 (b)」라고 함)을 포함한다. 일 형태의 공정 (a)에서는, H2, N2, 및 NF3를 함유하는 가스, 혹은, NH3 및 NF3를 함유하는 가스의 플라즈마를 생성하여도 좋다. 일 형태의 공정 (b)에서는, 처리 용기 내에서 불활성 가스의 플라즈마를 생성하여도 좋다. 또한, 일 형태에서는, 공정 (a) 및 공정 (b)는 복수회 반복되어도 좋다.
상기 방법에서는, 공정 (a)에 있어서 수소, 질소, 및 불소를 함유하는 가스의 플라즈마에 의해, 상기 산화층에 변질층이 형성된다. 계속해서, 공정 (b)에 있어서, 피처리체에 2차 전자가 조사된다. 공정 (b)에 있어서는, 이 2차 전자의 운동 에너지에 의해 피처리체의 변질층이 승화하고, 이 변질층이 선택적으로 제거된다. 이 방법에서는, 공정 (a) 및 공정 (b)를 동일한 처리 용기 내에서 실시할 수 있기 때문에, 피처리체를 열처리 챔버와는 별도의 처리 용기에 반송할 필요가 없다. 따라서, 이 방법에서는, 스루풋을 저하시키지 않고, 산화실리콘으로 구성되는 영역을 선택적으로 에칭하는 것이 가능해진다. 또한, 2차 전자는 높은 직진성을 가지며 피처리체에 조사되기 때문에, 열처리에 의해 변질층을 제거하는 종래 방법에 비하여, 피처리체로부터 높은 이방성을 가지며 상기 산화층을 제거할 수 있다.
일 형태에서는, 피처리체는 질화실리콘으로 구성된 질화층을 더 가지며, 공정 (b) 후에, (c) 질화층 상에, 층 상에 형성되는 보호막보다도 두꺼운 보호막을 형성하는 공정으로서, 피처리체를 플루오로카본 가스의 플라즈마에 노출시키는 상기 공정(이하, 「공정 (c)」라고 함)과, (d) 층을 에칭하는 공정으로서, 플루오로카본 가스의 플라즈마에 피처리체를 노출시키는 상기 공정(이하, 「공정 (d)」라고 함)을 더 포함하고, 공정 (c)에서 피처리체를 배치하는 배치대에 공급되는 고주파 바이어스 전력이, 공정 (d)에서 배치대에 공급되는 고주파 바이어스 전력보다도 작으며, 공정 (c)에 있어서, 피처리체의 온도가 60℃ 이상 250℃ 이하의 온도로 설정되어도 좋다. 일 형태에서는, 공정 (c)에 있어서, 배치대에 고주파 바이어스 전력이 공급되지 않도록 하여도 좋다.
60℃ 이상 250℃ 이하의 온도 환경 하에서는, 상기 질화층 상에 형성되는 플루오로카본계의 보호막의 두께는, 상기 산화층 상에 형성되는 이 보호막의 두께보다 두껍다. 또한, 비교적 낮은 바이어스 전력이 공급된 상태에서는, 상기 질화층의 에칭 레이트는 낮아진다. 따라서, 상기 방법에 따르면, 공정 (c)에서 두꺼운 보호막을 상기 질화층 상에 형성할 수 있고, 공정 (d)에서 선택적으로 상기 산화층을 에칭할 때에 상기 질화층의 식각을 억제하는 것이 가능해진다.
일 형태에서는, 상기 질화층은 상기 산화층 내에 매립되어 있고, 공정 (c) 및 공정 (d)는, 공정 (a) 및 공정 (b)에 의해 상기 질화층이 노출된 후에 행해져도 좋다. 이 형태에서는, 공정 (a) 및 공정 (b)를 실행한 후, 공정 (c) 및 공정 (d)를 실행함으로써, 상기 질화층이 노출된 후에도, 이 질화층의 손상을 억제하면서, 상기 산화층을 에칭하는 것이 가능해진다.
일 형태에서는, 공정 (c)에서는, 플루오로카본 가스로서, C4F6, C4F8, 및 C6F6 중 적어도 1종을 함유하는 가스가 이용되어도 좋다. 또한, 일 형태에서는, 공정 (c) 및 공정 (d)가 교대로 반복되어도 좋다.
일 형태에서는, 공정 (b) 후에, (e) 피처리체를 플루오로카본 가스를 포함하는 처리 가스의 플라즈마에 노출시키는 공정으로서, 상기 산화층을 에칭하고, 또한 이 층 상에 플루오로카본을 포함하는 퇴적막을 형성하는 상기 공정(이하, 「공정 (e)」라고 함)과, (f) 퇴적막에 포함되는 플루오로카본의 라디칼에 의해 상기 산화층을 에칭하는 공정(이하, 「공정 (f)」라고 함)을 더 포함하고, 상기 방법에서는, 공정 (e)와 공정 (f)가 교대로 반복되어도 좋다.
이 형태에서는, 공정 (e)에서 생성되는 플루오로카본 가스의 플라즈마에 의해 상기 산화층이 에칭되고, 이 층 상에 퇴적막이 형성된다. 계속해서, 공정 (f)에서, 퇴적막에 포함되는 플루오로카본의 라디칼을 이용하여 상기 산화층이 더 에칭된다. 또한, 이 공정 (f)에서는, 퇴적막의 양이 감소되고, 따라서, 공정 (e)를 더 행함으로써, 상기 산화층의 에칭이 더 진행된다. 이러한 공정 (e) 및 공정 (f)가 교대로 반복됨으로써, 상기 산화층, 즉 실리콘 산화막의 에칭의 정지를 방지하는 것이 가능해진다. 그 결과, 실리콘 산화막의 에칭을 계속해서 행하는 것이 가능해진다.
일 형태에서는, 플루오로카본의 라디칼에 의해 상기 산화층을 에칭하는 공정에서는, 희가스의 플라즈마에 피처리체가 노출되어도 좋다. 이 형태에서는, 희가스 원자의 이온이 퇴적막에 충돌함으로써, 이 퇴적막 중의 플루오로카본 라디칼이 상기 산화층을 에칭한다. 또한, 일 형태의 공정 (f)에서는, 플루오로카본 가스가 실질적으로 공급되지 않아도 좋다.
일 형태에서는, 피처리체는, 질화실리콘으로 구성된 질화층을 더 가지며, 이 질화층은 상기 산화층 내에 매립되어 있고, 공정 (e) 및 공정 (f)는, 공정 (a) 및 공정 (b)에 의해 상기 질화층이 노출된 후에 행해져도 좋다. 이러한 형태에서는, 공정 (a) 및 공정 (b)를 실행한 후, 공정 (e) 및 공정 (f)를 실행함으로써, 상기 질화층이 노출된 후에도, 이 질화층의 손상을 억제하면서, 상기 산화층을 에칭하는 것이 가능해진다.
본 발명의 일 측면 및 실시형태에 따르면, 스루풋을 저하시키지 않고, 산화실리콘으로 구성되는 영역을 선택적으로 에칭할 수 있다.
도 1은 제1 실시형태에 따른 에칭 방법을 도시한 흐름도이다.
도 2는 피처리체의 일례를 도시한 단면도이다.
도 3은 제1 실시형태에 따른 에칭 방법의 실시에 이용 가능한 플라즈마 처리 장치를 도시한 도면이다.
도 4는 제1 실시형태에 따른 에칭 방법의 각 공정의 실행 후의 상태의 피처리체를 도시한 단면도이다.
도 5는 제1 실시형태에 따른 에칭 방법의 각 공정의 실행 후의 상태의 피처리체를 도시한 단면도이다.
도 6은 제1 실시형태에 따른 에칭 방법의 각 공정의 실행 후의 상태의 피처리체를 도시한 단면도이다.
도 7은 제1 실시형태에 따른 에칭 방법의 각 공정의 실행 후의 상태의 피처리체를 도시한 단면도이다.
도 8은 제2 실시형태에 따른 에칭 방법을 도시한 흐름도이다.
도 9는 제2 실시형태에 따른 에칭 방법의 각 공정의 실행 후의 상태의 피처리체를 도시한 단면도이다.
도 10은 제2 영역의 에칭량 및 제1 영역의 사이드 에칭량을 설명하기 위한 도면이다.
이하, 도면을 참조하여 여러 가지 실시형태에 대해서 상세히 설명한다. 또한, 각 도면에 있어서 동일 또는 상당 부분에 대해서는 동일한 부호를 붙이는 것으로 한다.
(제1 실시형태)
도 1은 제1 실시형태에 따른 에칭 방법을 도시한 흐름도이다. 도 1에 도시된 방법 MT1은 산화실리콘으로 구성된 제1 영역을 선택적으로 에칭하는 방법이다. 이 방법 MT1은 일례에 있어서는, 도 2에 도시된 피처리체에 자기 정합적으로 홀을 형성하기 위해서 이용할 수 있다.
도 1에 도시된 바와 같이, 방법 MT1에서는, 우선, 공정 ST1이 행해진다. 공정 ST1에서는 피처리체(W)(이하, 「웨이퍼(W)」라고 함)가 준비된다. 준비된 웨이퍼(W)는, 후술하는 배치대(PD) 상에 배치된다. 웨이퍼(W)의 일례를 도 2에 도시한다. 도 2에 도시된 웨이퍼(W)는 하지층(100), 복수의 융기 영역(102), 제2 영역(104)(질화층), 제1 영역(106)(산화층), 및, 마스크(108)를 갖는다. 이 웨이퍼(W)는, 예컨대, 핀형 전계 효과 트랜지스터의 제조 중에 얻어지는 생산물일 수 있다.
하지층(100)은, 예컨대, 다결정 실리콘으로 구성될 수 있다. 하지층(100)은, 일례에 있어서는 핀 영역으로서, 거의 직방체 형상을 갖는다. 복수의 융기 영역(102)은 하지층(100) 상에 형성되어 있고, 서로 거의 평행하게 배열되어 있다. 이들 융기 영역(102)은, 예컨대, 게이트 영역일 수 있다. 제2 영역(104)은 질화실리콘으로 구성되어 있고, 융기 영역(102)을 덮도록 형성되어 있다. 또한, 복수의 융기 영역(102)은 제1 영역(106) 내에 매립되어 있다. 즉, 제1 영역(106)은 제2 영역(104)을 사이에 두고 융기 영역(102)을 덮도록 형성되어 있다. 이 제1 영역(106)은 산화실리콘으로 구성되어 있다. 제1 영역(106) 상에는 마스크(108)가 형성되어 있다. 마스크(108)는 인접한 융기 영역(102) 사이의 위쪽이 개구되는 패턴을 갖고 있다. 이 마스크(108)는 유기막으로 구성되어 있다. 또한, 마스크(108)는 포토리소그래피에 의해 작성하는 것이 가능하다.
웨이퍼(W)에 대하여 방법 MT1을 실시하면, 웨이퍼(W)의 제1 영역(106)을 제2 영역(104)에 대하여 선택적으로 에칭할 수 있고, 인접한 융기 영역(102) 사이의 영역에 있어서 홀을 자기 정합적으로 형성할 수 있다. 형성된 홀은 인접한 융기 영역(102) 사이의 영역을 지나 하지층(100)의 표면까지 연장된다. 이러한 홀은, 예컨대, 핀 영역의 소스 또는 드레인에, 접속하는 컨택트용의 홀이 될 수 있다.
이하, 방법 MT1의 실시에 이용 가능한 일 실시형태에 따른 플라즈마 처리 장치에 대해서 설명한다. 도 3은 일 실시형태에 따른 플라즈마 처리 장치를 도시한 도면이다. 도 3에 도시된 플라즈마 처리 장치(10)는 용량 결합형 플라즈마 에칭 장치로서, 거의 원통형의 처리 용기(12)를 구비한다. 처리 용기(12)의 내벽면은, 예컨대, 양극 산화 처리된 알루미늄으로 구성되어 있다. 이 처리 용기(12)는 보안 접지되어 있다.
처리 용기(12)의 바닥부 상에는 거의 원통형의 지지부(14)가 설치되어 있다. 지지부(14)는, 예컨대, 절연 재료로 구성되어 있다. 지지부(14)는 처리 용기(12) 내에서, 처리 용기(12)의 바닥부로부터 수직 방향으로 연장되어 있다. 또한, 처리 용기(12) 내에는, 배치대(PD)가 설치되어 있다. 배치대(PD)는 지지부(14)에 의해 지지되어 있다.
배치대(PD)는 그 상면에서 웨이퍼(W)를 유지한다. 배치대(PD)는 하부 전극(LE) 및 정전척(ESC)을 갖는다. 하부 전극(LE)은 제1 플레이트(18a) 및 제2 플레이트(18b)를 포함한다. 제1 플레이트(18a) 및 제2 플레이트(18b)는, 예컨대 알루미늄과 같은 금속으로 구성되어 있고, 거의 원반 형상을 이루고 있다. 제2 플레이트(18b)는 제1 플레이트(18a) 상에 설치되고, 제1 플레이트(18a)에 전기적으로 접속되어 있다.
제2 플레이트(18b) 상에는, 정전척(ESC)이 설치되어 있다. 정전척(ESC)은 도전막인 전극을 한 쌍의 절연층 또는 절연 시트 사이에 배치한 구조를 갖고 있다. 정전척(ESC)의 전극에는, 직류 전원(22)이 스위치(23)를 통해 전기적으로 접속되어 있다. 이 정전척(ESC)은 직류 전원(22)으로부터의 직류 전압에 의해 발생한 쿨롱력 등의 정전력에 의해 웨이퍼(W)를 흡착한다. 이에 따라, 정전척(ESC)은 웨이퍼(W)를 유지할 수 있다.
제2 플레이트(18b)의 주연부 상에는, 웨이퍼(W)의 에지 및 정전척(ESC)을 둘러싸도록 포커스링(FR)이 배치되어 있다. 포커스링(FR)은 에칭의 균일성을 향상시키기 위해서 설치되어 있다. 포커스링(FR)은 에칭 대상의 막의 재료에 의해 적절하게 선택되는 재료로 구성되어 있고, 예컨대, 석영으로 구성될 수 있다.
제2 플레이트(18b)의 내부에는, 냉매 유로(24)가 형성되어 있다. 냉매 유로(24)는 온도 조절 기구를 구성한다. 냉매 유로(24)에는, 처리 용기(12)의 외부에 설치된 칠러 유닛으로부터 배관(26a)을 통해 냉매가 공급된다. 냉매 유로(24)에 공급된 냉매는, 배관(26b)을 통해 칠러 유닛으로 복귀된다. 이와 같이, 냉매 유로(24)에는, 냉매가 순환하도록 공급된다. 이 냉매의 온도를 제어함으로써, 정전척(ESC)에 의해 지지된 웨이퍼(W)의 온도가 제어된다.
또한, 플라즈마 처리 장치(10)에는, 가스 공급 라인(28)이 형성되어 있다. 가스 공급 라인(28)은 전열 가스 공급 기구로부터의 전열 가스, 예컨대 He 가스를, 정전척(ESC)의 상면과 웨이퍼(W)의 이면 사이에 공급한다.
또한, 플라즈마 처리 장치(10)에는, 가열 소자인 히터(HT)가 설치되어 있다. 히터(HT)는, 예컨대, 제2 플레이트(18b) 내에 매립되어 있다. 히터(HT)에는, 히터 전원(HP)이 접속되어 있다. 히터 전원(HP)으로부터 히터(HT)에 전력이 공급됨으로써, 배치대(PD)의 온도가 조정되며, 이 배치대(PD) 상에 배치되는 웨이퍼(W)의 온도가 조정되도록 되어 있다.
또한, 플라즈마 처리 장치(10)는 상부 전극(30)을 구비한다. 상부 전극(30)은 배치대(PD)의 위쪽에서, 이 배치대(PD)와 대향 배치되어 있다. 하부 전극(LE)과 상부 전극(30)은 서로 거의 평행하게 설치되어 있다. 이들 상부 전극(30)과 하부 전극(LE) 사이에는 웨이퍼(W)에 플라즈마 처리를 행하기 위한 처리 공간(S)이 제공되어 있다.
상부 전극(30)은 절연성 차단 부재(32)를 통해 처리 용기(12)의 상부에 지지되어 있다. 일 실시형태에서는, 상부 전극(30)은 배치대(PD)의 상면, 즉, 웨이퍼 배치면으로부터의 수직 방향에 있어서의 거리가 가변이도록 구성될 수 있다. 상부 전극(30)은 전극판(34) 및 전극 지지체(36)를 포함할 수 있다. 전극판(34)은 처리 공간(S)에 면하고 있고, 이 전극판(34)에는 복수의 가스 토출 구멍(34a)이 형성되어 있다. 이 전극판(34)은 일 실시형태에서는 실리콘으로 구성되어 있다.
전극 지지체(36)는 전극판(34)을 착탈 가능하게 지지하는 것으로서, 예컨대 알루미늄과 같은 도전성 재료로 구성될 수 있다. 이 전극 지지체(36)는, 수냉 구조를 가질 수 있다. 전극 지지체(36)의 내부에는, 가스 확산실(36a)이 마련되어 있다. 이 가스 확산실(36a)로부터는, 가스 토출 구멍(34a)과 연통하는 복수의 가스 통류 구멍(36b)이 아래쪽으로 연장되어 있다. 또한, 전극 지지체(36)에는, 가스 확산실(36a)로 처리 가스를 유도하는 가스 도입구(36c)가 형성되어 있고, 이 가스 도입구(36c)에는, 가스 공급관(38)이 접속되어 있다.
가스 공급관(38)에는, 밸브군(42) 및 유량 제어기군(44)을 통해 가스 소스군(40)이 접속되어 있다. 가스 소스군(40)은 제1 가스, 제2 가스, 제3 가스, 제4 가스, 불활성 가스, 및, 희가스의 가스 소스와 같은 복수의 가스 소스를 포함한다. 제1 가스는 수소, 질소, 및, 불소를 함유하는 가스이다. 예컨대 제1 가스는 H2 가스, N2 가스, 및 NF3 가스의 혼합 가스, 혹은, NH3 가스 및 NF3 가스의 혼합 가스일 수 있다. 또한, 제1 가스는 Ar 가스와 같은 희가스를 더 포함할 수 있다. 제2 가스 및 제3 가스는 플루오로카본을 함유하는 가스이다. 또한, 제2 가스 및 제3 가스는 C4F6, C4F8, 및 C6F6 중 적어도 1종을 함유하는 가스이다. 또한, 제2 가스, 제3 가스, 및 제4 가스는 Ar 가스와 같은 희가스, 및, O2 가스를 더 포함할 수 있다. 또한, 불활성 가스는 Ar 가스와 같은 희가스, 또는 N2 가스일 수 있다. 또한, 가스 소스군(40)은 H2 가스, He 가스와 같은 전술한 가스와는 다른 가스의 가스 소스를 포함하고 있어도 좋다.
밸브군(42)은 복수의 밸브를 포함하고, 유량 제어기군(44)은 매스 플로우 컨트롤러와 같은 복수의 유량 제어기를 포함한다. 가스 소스군(40)의 복수의 가스 소스는 각각 밸브군(42)의 대응 밸브 및 유량 제어기군(44)의 대응 유량 제어기를 통해 가스 공급관(38)에 접속되어 있다.
또한, 플라즈마 처리 장치(10)에서는, 처리 용기(12)의 내벽을 따라 디포지션 실드(46)가 착탈 가능하게 설치되어 있다. 디포지션 실드(46)는 지지부(14)의 외주에도 설치되어 있다. 디포지션 실드(46)는 처리 용기(12)에 에칭 부생물(디포지션)이 부착되는 것을 방지하는 것으로서, 알루미늄재에 Y2O3 등의 세라믹스를 피복함으로써 구성될 수 있다.
처리 용기(12)의 바닥부측, 또한, 지지부(14)와 처리 용기(12)의 측벽 사이에는 배기 플레이트(48)가 설치되어 있다. 배기 플레이트(48)는, 예컨대, 알루미늄재에 Y2O3 등의 세라믹스를 피복함으로써 구성될 수 있다. 이 배기 플레이트(48)의 아래쪽, 또한, 처리 용기(12)에는, 배기구(12e)가 형성되어 있다. 배기구(12e)에는, 배기관(52)을 통해 배기 장치(50)가 접속되어 있다. 배기 장치(50)는, 터보 분자 펌프 등의 진공 펌프를 갖고 있고, 처리 용기(12) 내의 공간을 원하는 진공도까지 감압할 수 있다. 또한, 처리 용기(12)의 측벽에는 웨이퍼(W)의 반입반출구(12g)가 형성되어 있고, 이 반입반출구(12g)는 게이트 밸브(54)에 의해 개폐 가능하게 되어 있다.
또한, 플라즈마 처리 장치(10)는 제1 고주파 전원(62) 및 제2 고주파 전원(64)을 더 구비한다. 제1 고주파 전원(62)은 플라즈마 생성용의 제1 고주파 전력을 발생시키는 전원이며, 27∼100 MHz의 주파수, 일례로는 40 MHz의 고주파 전력을 발생시킨다. 제1 고주파 전원(62)은 정합기(66)를 통해 하부 전극(LE)에 접속되어 있다. 정합기(66)는 제1 고주파 전원(62)의 출력 임피던스와 부하측[하부 전극(LE)측]의 입력 임피던스를 정합시키기 위한 회로이다.
제2 고주파 전원(64)은 웨이퍼(W)에 이온을 인입하기 위한 제2 고주파 전력, 즉 고주파 바이어스 전력을 발생시키는 전원이며, 400 kHz∼13.56 MHz의 범위 내의 주파수, 일례로는 13 MHz의 고주파 바이어스 전력을 발생시킨다. 제2 고주파 전원(64)은 정합기(68)를 통해 하부 전극(LE)에 접속되어 있다. 정합기(68)는 제2 고주파 전원(64)의 출력 임피던스와 부하측[하부 전극(LE)측]의 입력 임피던스를 정합시키기 위한 회로이다.
또한, 플라즈마 처리 장치(10)는 직류 전원(70)을 더 구비한다. 직류 전원(70)은 상부 전극(30)에 접속되어 있다. 직류 전원(70)은 음의 직류 전압을 발생시키고, 이 직류 전압을 상부 전극(30)에 부여하는 것이 가능하다. 상부 전극(30)에 음의 직류 전압이 부여되면, 처리 공간(S)에 존재하는 양이온이 전극판(34)에 충돌한다. 이에 따라, 전극판(34)으로부터 2차 전자가 방출된다.
또한, 일 실시형태에 있어서는, 플라즈마 처리 장치(10)는 제어부(Cnt)를 더 구비할 수 있다. 이 제어부(Cnt)는 프로세서, 기억부, 입력 장치, 표시 장치 등을 구비하는 컴퓨터이며, 플라즈마 처리 장치(10)의 각부를 제어한다. 구체적으로, 제어부(Cnt)는 밸브군(42), 유량 제어기군(44), 배기 장치(50), 제1 고주파 전원(62), 정합기(66), 제2 고주파 전원(64), 정합기(68), 직류 전원(70), 히터 전원(HP), 및 칠러 유닛에 접속되어 있다.
제어부(Cnt)는 입력된 레시피에 기초한 프로그램에 따라 동작하고, 제어 신호를 송출한다. 제어부(Cnt)로부터의 제어 신호에 의해, 가스 소스군으로부터 공급되는 가스의 선택 및 유량, 배기 장치(50)의 배기, 제1 고주파 전원(62) 및 제2 고주파 전원(64)으로부터의 전력 공급, 직류 전원(70)으로부터의 음의 직류 전압 공급, 히터 전원(HP)의 전력 공급, 칠러 유닛으로부터의 냉매 유량 및 냉매 온도를 제어하는 것이 가능하다.
다시 도 1을 참조하여, 제어부(Cnt)의 각종 제어와 함께, 방법 MT1의 각 공정에 대해서 상세히 설명한다. 이하의 설명에 있어서는, 도 4, 도 5, 도 6, 및 도 7을 참조한다. 도 4, 도 5, 도 6, 및 도 7은 제1 실시형태에 따른 에칭 방법의 각 공정의 실행 후의 상태의 피처리체를 도시한 단면도이다.
도 1에 도시된 바와 같이, 방법 MT1에서는, 계속해서 공정 ST2가 행해진다. 공정 ST2에서는, 제1 영역(106)을 변질시킴으로써, 변질층이 형성된다. 구체적으로, 공정 ST2에서는, 웨이퍼(W)가 수소, 질소, 및 불소를 함유하는 가스의 플라즈마에 노출된다. 공정 ST2에 이용되는 가스는 전술한 제1 가스로서, 예컨대, H2 가스, N2 가스, 및 NF3 가스의 혼합 가스, 혹은, NH3 가스 및 NF3 가스의 혼합 가스일 수 있다. 또한, 제1 가스는 Ar 가스와 같은 희가스를 포함할 수 있다. 제1 가스의 플라즈마에 웨이퍼(W)가 노출되면, 제1 영역(106)을 구성하는 산화실리콘이 규불화암모늄((NH4)2SiF6)으로 변질된다. 이에 따라, 도 4의 (a)에 도시된 바와 같이, 제1 영역(106)의 적어도 일부가 변질층(106a)으로 변질된다.
플라즈마 처리 장치(10)를 이용하여 공정 ST2를 행하는 경우에는, 제어부(Cnt)는 가스 소스군(40)으로부터 제1 가스를 공급하도록 밸브군(42) 및 유량 제어기군(44)을 제어한다. 또한, 제어부(Cnt)는 제1 가스를 여기시키기 위해서, 고주파 전력을 하부 전극(LE)에 공급하도록 제1 고주파 전원(62)을 제어한다.
공정 ST2에서는, 처리 용기(12) 내의 압력은, 예컨대, 400 mTorr∼600 mTorr(53.33 Pa∼79.99 Pa)의 압력으로 설정된다. 또한, 제1 고주파 전원(62)으로부터 공급되는 고주파 전력은, 예컨대, 800 W∼1200 W 사이의 전력으로 설정된다. 또한, 공정 ST2에서는, 제2 고주파 전원(64)으로부터의 고주파 바이어스 전력은 공급되지 않아도 좋다. 또한, 제1 가스로서, H2 가스, N2 가스, 및 NF3 가스의 혼합 가스를 이용한 경우에는, 제1 가스 중의 NF3 가스와 H2 가스의 분압은 1:2∼1:10의 범위 내의 분압, 예컨대, 1:2.5의 분압으로 제어된다. 또한, 웨이퍼(W)의 온도는 60℃ 이하의 온도, 예컨대, 0℃의 온도로 제어된다. 이 때문에, 제어부(Cnt)는 플라즈마 처리 장치(10)의 히터 전원(HP)을 제어하여, 배치대(PD)의 온도를 조정할 수 있다. 또한, 공정 ST2의 처리 시간은 30초∼60초로 할 수 있다.
계속해서, 방법 MT1에서는, 공정 ST3이 행해진다. 공정 ST3에서는, 웨이퍼(W)에 대하여 2차 전자가 조사됨으로써 변질층(106a)이 제거된다. 플라즈마 처리 장치(10)에서 공정 ST3을 행하는 경우에는, 플라즈마 처리 장치(10)의 가스 소스군(40)으로부터 처리 가스가 공급되고, 배기 장치(50)에 의해 처리 용기(12) 내의 압력이 설정치로 감압된다. 공정 ST3에서 이용되는 처리 가스는, 그 여기시에 양이온을 발생시키는 것이 가능한 것으로서, 예컨대 Ar 가스 등의 희가스, N2 가스와 같은 불활성 가스일 수 있다. 또한, 공정 ST3에서는, 처리 가스로서 H2 가스를 이용하여도 좋다. 또한, 공정 ST3에서는, 직류 전원(70)으로부터 플라즈마 처리 장치(10)의 상부 전극(30)에 음의 직류 전압이 인가된다. 또한, 공정 ST3에서는, 처리 가스를 여기시키기 위해서, 제1 고주파 전원(62)으로부터 고주파 전력이 하부 전극(LE)으로 공급된다. 이에 따라, 처리 용기(12) 내에서 처리 가스에서 유래되는 양이온을 갖는 플라즈마가 생성된다. 또한, 공정 ST3에서는, 필요에 따라, 제2 고주파 전원(64)으로부터의 고주파 바이어스 전력이 하부 전극(LE)으로 공급되어도 좋다.
일례에서는, 처리 용기(12) 내의 압력은, 예컨대, 40 mTorr∼60 mTorr(5.33 Pa∼7.99 Pa)의 압력으로 설정된다. 제1 고주파 전원(62)으로부터 공급되는 고주파 전력은, 예컨대, 200 W∼400 W 사이의 전력으로 설정된다. 공정 ST3에서는, 제2 고주파 전원(64)으로부터의 고주파 바이어스 전력은 공급되지 않아도 좋다. 또한, 공정 ST3에서는, 직류 전원(70)으로부터 플라즈마 처리 장치(10)의 상부 전극(30)에 절대치가 1000 V인 음의 직류 전압이 인가된다. 또한, 공정 ST3에 있어서 상부 전극(30)에 인가되는 음의 직류 전압은, 적어도 절대치가 500 V 이상이면 좋고, 예컨대 800 V∼1200 V의 범위 내의 음의 직류 전압이어도 좋다. 또한, Ar 가스가 300 sccm∼500 sccm의 유량으로 처리 용기(12) 내에 공급된다. 또한, 웨이퍼(W)의 온도는, 60℃ 이하의 온도, 예컨대, 40℃의 온도로 제어된다. 또한, 공정 ST3의 처리 시간은, 20초∼40초로 할 수 있다.
도 4의 (b)는, 공정 ST3의 원리를 설명하기 위한 도면이다. 동 도면에 있어서, 원에 의해 둘러싸인 「+」는 양이온을 나타내고 있고, 원에 의해 둘러싸인 「-」가 2차 전자를 나타내고 있다. 처리 공간(S)에 처리 가스가 공급되고, 제1 고주파 전원(62)으로부터 고주파 전력이 하부 전극(LE)에 공급되면, 처리 가스가 여기되며, 처리 공간(S) 내에서 양이온을 갖는 플라즈마가 발생한다. 처리 공간(S)에 양이온을 갖는 플라즈마가 생성되고 있는 상태에서 상부 전극(30)에 음의 직류 전압이 인가되면, 도 4의 (b)에 도시된 바와 같이, 양이온은 상부 전극(30)의 전극판(34)에 충돌한다. 이에 따라, 상부 전극(30)으로부터 2차 전자가 방출되고, 이 2차 전자가 웨이퍼(W)에 조사된다. 2차 전자가 웨이퍼(W)의 표면에 조사되면, 2차 전자의 운동 에너지에 의해 변질층(106a)의 규불화암모늄이 승화한다. 이에 따라, 도 5의 (a)에 도시된 바와 같이, 공정 ST3에서 변질층(106a)이 제거된다.
전술한 공정 ST2 및 공정 ST3은 제2 영역(104)이 노출될 때까지, 교대로 소정 횟수(예컨대, 7회) 실행된다. 방법 MT1에서는, 공정 ST4에 있어서, 공정 ST2 및 공정 ST3의 실행 횟수가 정지 조건, 즉, 소정 횟수를 초과한다고 하는 조건을 충족하는지 여부가 판정된다. 이 정지 조건이 충족되지 않는 경우에는, 공정 ST2 및 공정 ST3이 다시 반복된다. 한편, 정지 조건이 충족된 경우에는, 공정 ST2 및 공정 ST3의 실행이 종료된다. 이와 같이 공정 ST2 및 공정 ST3가 교대로 소정 횟수 실행됨으로써, 도 5의 (b)에 도시된 바와 같이, 제1 영역(106)이 부분적으로 제거되고, 제2 영역(104)이 노출된다. 또한, 공정 ST2 및 공정 ST3은 제1 영역(106)에 대하여 선택적으로 작용하기 때문에, 제2 영역(104)이 노출된 후에도, 제2 영역(104)의 손상이 억제된다. 또한, 공정 ST3에서는, 높은 직진성을 갖는 2차 전자가 피처리체(W)에 조사되기 때문에, 제1 영역(106)의 막두께 방향[즉, 하지층(100)에 대하여 수직인 방향]에 대하여 수직인 방향에 대하여 에칭이 진행되는 것이 억제된다. 그 결과, 높은 이방성으로 웨이퍼(W)로부터 제1 영역(106)을 제거할 수 있다. 이 때문에, 제1 영역(106) 중 마스크(108)의 아래쪽에 위치하는 영역이 제거되는 것을 억제할 수 있다.
계속해서, 방법 MT1에서는, 공정 ST5가 행해진다. 공정 ST5에서는, 제2 영역(104) 및 제1 영역(106) 상에 보호막이 형성된다. 이 공정 ST5에서는, 제2 영역(104) 상에 형성되는 보호막의 두께가 제1 영역(106) 상에 형성되는 보호막의 두께보다 두꺼워지도록, 보호막의 형성이 조정된다.
구체적으로, 공정 ST5에서는, 웨이퍼(W)가 플루오로카본 가스, 즉, 플루오로카본을 함유하는 전술한 제2 가스의 플라즈마에 노출된다. 이 공정 ST5에서는, 하부 전극(LE)에 공급되는 고주파 바이어스 전력이, 후술하는 공정 ST6에서 하부 전극(LE)에 공급되는 고주파 바이어스 전력보다도 작은 전력이 되도록 조정된다. 예컨대, 공정 ST5에서는, 하부 전극(LE)에 고주파 바이어스 전력이 공급되지 않는다. 이에 따라, 제2 영역(104)의 에칭 레이트가 낮아져서, 제2 영역(104)은 실질적으로는 에칭되지 않게 된다.
또한, 공정 ST5에서는, 웨이퍼(W)의 온도가 60℃ 이상 250℃ 이하의 온도로 설정된다. 이에 따라, 도 6의 (a)에 도시된 바와 같이, 플루오로카본계의 보호막(PF)이 제2 영역(104) 및 제1 영역(106) 상에 형성되고, 제1 영역(106) 상의 보호막(PF)의 두께보다도 제2 영역(104) 상의 보호막(PF)의 두께가 두꺼워진다. 또한, 250℃를 초과하는 온도 영역에서는, 마스크(108)의 유리 전이 온도가 되고, 또한, 이 온도에서는, 제1 영역(106)에 형성되는 보호막의 두께와 제2 영역(104)의 보호막의 두께의 차가 적어진다. 또한, 60℃보다 낮은 온도라도, 제1 영역(106)에 형성되는 보호막의 두께와 제2 영역(104)의 보호막의 두께의 차가 적어진다.
플라즈마 처리 장치(10)를 이용하여 공정 ST5를 행하는 경우에는, 제어부(Cnt)는 가스 소스군(40)으로부터 제2 가스를 공급하도록, 밸브군(42) 및 유량 제어기군(44)을 제어한다. 또한, 제어부(Cnt)는 하부 전극(LE)에 고주파 전력이 공급되도록 제1 고주파 전원(62)을 제어한다. 또한, 제어부 (Cnt)는 고주파 바이어스 전력이 낮은 전력이 되도록, 예컨대, 고주파 바이어스 전력이 공급되지 않도록, 제2 고주파 전원(64)을 제어한다. 또한, 제어부(Cnt)는 플라즈마 처리 장치(10)의 히터 전원(HP)을 제어함으로써, 배치대(PD)의 온도를 조정하고, 이에 따라 웨이퍼(W)의 온도를 조정한다.
예컨대, 공정 ST5에서는, 처리 용기(12) 내의 압력은 10 mTorr∼30 mTorr(1.333 Pa∼4 Pa)의 압력으로 설정된다. 또한, 공정 ST5에서는, 제1 고주파 전원(62)으로부터, 60 MHz 및 500 W∼2000 W의 고주파 전력이 하부 전극(LE)에 공급된다. 또한, 제2 가스 중의 C4F6 가스, Ar 가스, O2 가스의 유량은 각각 15 sccm∼25 sccm의 유량, 500 sccm∼600 sccm의 유량, 10 sccm∼20 sccm의 유량으로 설정된다. 또한, 공정 ST5의 처리 시간은 10초∼20초이다.
계속해서, 방법 MT1에서는, 공정 ST6이 행해진다. 공정 ST6에서는, 제1 영역(106)이 에칭된다. 본 예에 있어서는, 인접한 융기 영역(102) 사이에 존재하는 제1 영역(106)이 에칭된다.
구체적으로, 공정 ST6에서는, 웨이퍼(W)가 플루오로카본 가스, 즉, 플루오로카본을 함유하는 전술한 제3 가스의 플라즈마에 노출된다. 이 공정 ST6에서는, 하부 전극(LE)에 비교적 높은 고주파 바이어스 전력이 공급된다. 이에 따라, 비교적 얇은 보호막(PF)이 그 위에 형성되어 있는 제1 영역(106)이 도 6의 (b)에 도시된 바와 같이, 에칭된다.
플라즈마 처리 장치(10)를 이용하여 공정 ST6을 행하는 경우에는, 제어부(Cnt)는 가스 소스군(40)으로부터 제3 가스를 공급하도록, 밸브군(42) 및 유량 제어기군(44)을 제어한다. 또한, 제어부(Cnt)는 하부 전극(LE)에 고주파 전력이 공급되도록 제1 고주파 전원(62)을 제어한다. 또한, 제어부(Cnt)는 하부 전극(LE)에 고주파 바이어스 전력이 공급되도록 제2 고주파 전원(64)을 제어한다.
예컨대, 공정 ST6에서는, 처리 용기(12) 내의 압력은 10 mTorr∼30 mTorr(1.333 Pa∼4 Pa)의 압력으로 설정된다. 또한, 공정 ST6에서는, 제1 고주파 전원(62)으로부터, 60 MHz 및 500 W∼2000 W의 고주파 전력이 하부 전극(LE)에 공급된다. 또한, 공정 ST6에서는, 제2 고주파 전원(64)으로부터, 1000 W∼2000 W의 고주파 바이어스 전력이 하부 전극(LE)에 공급된다. 또한, 제3 가스 중의 C4F6 가스, Ar 가스, O2 가스의 유량은 각각 15 sccm∼25 sccm의 유량, 500 sccm∼600 sccm의 유량, 10 sccm∼20 sccm의 유량으로 설정된다. 또한, 공정 ST6의 처리 시간은 10초∼30초이다. 또한, 공정 ST6에서의 웨이퍼(W)의 온도는 공정 ST5의 웨이퍼(W)의 온도와 동일한 온도여도 좋고, 혹은, 공정 ST5의 웨이퍼(W)의 온도보다도 낮은 온도여도 좋다.
방법 MT1에서는, 공정 ST5 및 공정 ST6이 교대로 소정 횟수 실행된다. 방법 MT1에서는, 공정 ST7에 있어서, 공정 ST5 및 공정 ST6의 실행 횟수가 정지 조건, 즉, 소정 횟수를 초과한다고 하는 조건을 충족하는지 여부가 판정된다. 이 정지 조건이 충족되지 않는 경우에는, 공정 ST5 및 공정 ST6이 다시 반복된다. 한편, 정지 조건이 충족되는 경우에는, 공정 ST5 및 공정 ST6의 실행이 종료된다. 이와 같이 공정 ST5 및 공정 ST6이 교대로 소정 횟수 실행됨으로써, 도 6의 (c)에 도시된 바와 같이, 인접한 융기 영역(102) 사이에 존재하는 제1 영역(106)이 일정 깊이까지 에칭된다. 또한, 도 6의 (c)에서는, 제1 영역(106)의 에칭은 하지층(100)까지 도달하지 않지만, 공정 ST5 및 공정 ST6은 제1 영역(106)의 에칭이 하지층(100)에 도달할 때까지 실행되어도 좋다.
이상의 공정 ST5 및 공정 ST6을 행함으로써, 노출 직후에 보호막이 형성되어 있지 않은 제2 영역(104)의 식각을 억제하면서, 제1 영역(106)을 에칭하는 것이 가능해진다. 이러한 공정 ST5 및 공정 ST6을 소정 횟수 실행하면, 제2 영역(104) 상에는 보호막(PF)이 유지된다. 또한, 플루오로카본을 함유하는 가스의 에칭에서는, 제1 영역(106) 상에의 보호막의 형성과 제1 영역(106)의 에칭이 동시에 진행된다. 따라서, 공정 ST5 및 공정 ST6을 소정 횟수 실행한 후에, 공정 ST6과 동일한 에칭에 의해, 제1 영역(106)을 에칭할 수 있다. 이에 따라, 제1 영역(106)의 에칭 레이트를 높이는 것이 가능하다.
구체적으로, 방법 MT1은 공정 ST8을 포함한다. 공정 ST8에서는, 공정 ST6과 동일한 조건으로, 인접한 융기 영역(102) 사이에 존재하는 제1 영역(106)의 에칭이 진행된다. 이 공정 ST8을 플라즈마 처리 장치(10)를 이용하여 행하는 경우에는, 제어부(Cnt)는 공정 ST6에서의 제어와 동일한 제어를 실행할 수 있다. 이 공정 ST8을 행하면 도 7의 (a)에 도시된 바와 같이, 웨이퍼(W)에는, 하지층(100)까지 도달하는 홀이 형성된다. 단, 도 7의 (a)에 도시된 바와 같이, 하지층(100)과 제2 영역(104)에 의해 둘러싸인 코너부에는 산화실리콘으로 구성된 잔사(106b)(산화층)가 남겨지는 경우가 있다.
방법 MT1에서는, 잔사(106b)를 제거하기 위해서, 공정 ST9 및 공정 ST10을 더 행할 수 있다. 공정 ST9는 공정 ST2와 동일한 공정이고, 공정 ST10은 공정 ST3과 동일한 공정이다. 또한, 플라즈마 처리 장치(10)를 이용하여 공정 ST9 및 공정 ST10을 행하는 경우에는, 제어부(Cnt)는 공정 ST2 및 공정 ST3에서 설명한 제어를 실행할 수 있다.
방법 MT1에서는, 공정 ST9에 의해, 도 7의 (b)에 도시된 바와 같이, 잔사(106b)를 변질시켜 변질층(106c)을 형성할 수 있다. 또한, 공정 ST10에 의해, 도 7의 (c)에 도시된 바와 같이, 변질층(106c)을 제거할 수 있다. 공정 ST9 및 공정 ST10은 교대로 복수회 반복되어도 좋다. 이에 따라, 방법 MT1에 따르면, 인접한 융기 영역(102) 사이에 자기 정합적으로 홀(HL)을 형성하는 것이 가능해진다.
(제2 실시형태)
다음에, 제2 실시형태에 따른 에칭 방법에 대해서 설명한다. 도 8은 제2 실시형태에 따른 에칭 방법을 도시한 흐름도이다. 도 8에 도시된 방법 MT2는 산화실리콘으로 구성된 제1 영역을 선택적으로 에칭하는 방법이다. 이 방법 MT2는 일례로는, 도 2에 도시된 전술한 피처리체에 자기 정합적으로 홀을 형성하기 위해서 이용할 수 있다. 또한, 방법 MT2는 전술한 플라즈마 처리 장치(10)를 이용하여 실시할 수 있다. 이하에서는, 제1 실시형태와의 차이점을 중심으로 설명하고, 중복되는 설명은 생략한다.
방법 MT2에서는, 우선, 공정 ST21, 공정 ST22, 공정 ST23, 및 공정 ST24가 행해진다. 공정 ST21, 공정 ST22, 공정 ST23, 및 공정 ST24는, 방법 MT1의 공정 ST1, 공정 ST2, 공정 ST3, 및 공정 ST4와 동일한 공정이다. 방법 MT2에서는, 공정 ST21, 공정 ST22, 공정 ST23, 및 공정 ST24가 행해짐으로써, 도 5의 (b)에 도시된 바와 같이, 제1 영역(106)이 부분적으로 제거되고, 제2 영역(104)이 노출된 웨이퍼(W)를 얻을 수 있다.
계속해서, 방법 MT2에서는, 공정 ST25가 행해진다. 공정 ST25에서는, 웨이퍼(W)가 플루오로카본 가스를 포함하는 제4 가스의 플라즈마에 노출된다. 공정 ST25에서는, 제4 가스가 여기됨으로써 플라즈마가 생성되고, 생성된 플라즈마에 웨이퍼(W)가 노출된다.
플라즈마 처리 장치(10)를 이용하여 공정 ST25를 실시하는 경우에는, 가스 소스군(40)으로부터 제4 가스가 처리 용기(12) 내로 공급된다. 또한, 공정 ST25에서는, 제1 고주파 전원(62)으로부터의 고주파 전력이 하부 전극(LE)에 공급된다. 또한, 공정 ST25에서는, 제2 고주파 전원(64)으로부터의 고주파 바이어스 전력이 하부 전극(LE)에 공급될 수 있다. 또한, 공정 ST25에서는, 배기 장치(50)에 의해 처리 용기(12) 내의 공간의 압력이 소정의 압력으로 설정된다. 예컨대, 처리 용기(12) 내의 공간의 압력은 20 mTorr(2.666 Pa)∼50 mTorr(6.666 Pa)의 범위 내의 압력으로 설정된다. 또한, 공정 ST25에서는, 상부 전극(30)과 배치대(PD)의 상면 사이의 거리가 20 ㎜∼50 ㎜의 범위 내의 거리로 설정된다. 이에 따라, 처리 용기(12) 내에서 플루오로카본 가스의 플라즈마가 생성되고, 배치대(PD) 상에 배치된 웨이퍼(W)가 상기 플라즈마에 노출된다. 또한, 공정 ST25에서는, 상부 전극(30)에 직류 전원(70)으로부터의 음의 직류 전압이 인가되어도 좋다. 또한, 공정 ST25의 실행시의 플라즈마 처리 장치(10)의 각부의 동작은 제어부(Cnt)에 의해 제어될 수 있다.
공정 ST25에서는, 초기에 도 5의 (b)에 도시된 웨이퍼(W)의 제1 영역(106)에, 플루오로카본에서 유래되는 원자 및/또는 분자의 활성종, 예컨대, 불소 및/또는 플루오로카본의 활성종이 충돌한다. 이에 따라, 공정 ST25에서는, 제1 영역(106)이 에칭된다. 또한, 공정 ST25에서는, 도 9의 (a)에 도시된 바와 같이, 플루오로카본을 포함하는 퇴적물이 제1 영역(106)에 부착된다. 이에 따라, 플루오로카본을 포함하는 퇴적물(DP)이 제1 영역(106) 상에 형성된다. 이 퇴적물(DP)의 막두께는, 공정 ST25의 실행 시간의 경과에 따라 증가한다.
또한, 공정 ST25에서는, 초기에 도 5의 (b)에 도시된 웨이퍼(W)의 제2 영역(104)에도, 플루오로카본에서 유래되는 원자 및/또는 분자의 활성종, 예컨대, 불소 및/또는 플루오로카본의 활성종이 충돌한다. 이러한 활성종이 제2 영역(104)에 충돌하면, 도 9의 (a)에 도시된 바와 같이, 제2 영역(104)의 표면으로부터 일정 깊이의 표층 부분이 개질되고, 개질 영역(TR)이 형성될 수 있다. 개질 영역(TR)은 제2 영역(104)을 구성하는 실리콘 및 질소, 제4 가스에 포함되는 원자 및/또는 분자를 함유한다. 예컨대, 개질 영역(TR)은 실리콘 및 질소에 추가해, 제4 가스에 포함되는 탄소, 불소, 및 산소를 함유할 수 있다. 또한, 공정 ST25에서는, 개질 영역(TR) 상에 퇴적물(DP)이 형성된다.
공정 ST25의 처리에 의해 형성된 퇴적물(DP)의 막두께가 커지면, 제1 영역(106)을 에칭할 수 있는 활성종이 제1 영역(106)에 도달하는 것이 이 퇴적물(DP)에 의해 저해된다. 따라서, 공정 ST25를 연속적으로 계속하면, 제1 영역(106)의 에칭이 정지한다. 이러한 에칭의 정지를 방지하기 위해서, 방법 MT2에서는, 계속해서, 공정 ST26이 실행된다.
공정 ST26에서는, 퇴적물(DP)에 포함되는 플루오로카본의 라디칼에 의해 제1 영역(106)이 에칭된다. 일 실시형태의 공정 ST26에서는, 공정 ST25의 처리 후의 웨이퍼(W)가 희가스의 플라즈마에 노출된다. 이 공정 ST26의 처리 시간과 공정 ST25의 처리 시간은 임의로 설정될 수 있다. 일 실시형태에 있어서는, 공정 ST25의 처리 시간과 공정 ST26의 처리 시간의 합계에 있어서 공정 ST25의 처리 시간이 차지하는 비율은 30%∼70%의 범위 내의 비율로 설정될 수 있다.
플라즈마 처리 장치(10)를 이용하여 공정 ST26을 실시하는 경우에는, 가스 소스군(40)으로부터 희가스가 공급된다. 또한, 공정 ST26에서는, 희가스에 추가해 산소 가스(O2 가스)가 공급되어도 좋다. 또한, 공정 ST26에서는, 제1 고주파 전원(62)으로부터의 고주파 전력이 하부 전극(LE)에 공급된다. 또한, 공정 ST26에서는, 제2 고주파 전원(64)으로부터의 고주파 바이어스 전력이 하부 전극(LE)에 공급될 수 있다. 예컨대, 처리 용기(12) 내의 공간의 압력은 20 mTorr(2.666 Pa)∼50 mTorr(6.666 Pa)의 범위 내의 압력으로 설정된다. 또한, 공정 ST26에서는, 상부 전극(30)과 배치대(PD)의 상면 사이의 거리가 20 ㎜∼50 ㎜의 범위 내의 거리로 설정된다. 이에 따라, 처리 용기(12) 내에서 희가스의 플라즈마가 생성되고, 배치대(PD) 상에 배치된 웨이퍼(W)가 이 플라즈마에 노출된다. 또한, 공정 ST26에서는, 상부 전극(30)에 직류 전원(70)으로부터의 음의 직류 전압이 인가되어도 좋다. 또한, 공정 ST26의 실행시의 플라즈마 처리 장치(10)의 각부의 동작은 제어부(Cnt)에 의해 제어될 수 있다.
공정 ST26에서는, 희가스 원자의 활성종, 예컨대, 희가스 원자의 이온이 퇴적물(DP)에 충돌한다. 이에 따라, 도 9의 (b)에 도시된 바와 같이, 퇴적물(DP) 중의 플루오로카본 라디칼이 제1 영역(106)의 에칭을 진행시킨다. 또한, 이 공정 ST26에 의해, 퇴적물(DP)의 막두께가 감소된다. 또한, 공정 ST26에서는, 도 9의 (b)에 도시된 바와 같이, 제2 영역(104) 상의 퇴적물(DP)의 막두께도 감소된다. 단, 제2 영역(104) 상에는 개질 영역(TR)이 존재하기 때문에, 제2 영역(104)의 에칭은 억제된다.
방법 MT2에서는, 공정 ST26을 실행한 후, 다시, 공정 ST25가 실행된다. 앞의 공정 ST26의 실행에 의해 퇴적물(DP)의 막두께가 감소되고 있기 때문에, 다시 공정 ST25를 실행하여 전술한 처리 가스의 플라즈마에 웨이퍼(W)를 노출시키면, 제1 영역(106)을 더 에칭할 수 있다. 그 후, 공정 ST26을 더 실행함으로써, 퇴적물(DP) 중의 플루오로카본 라디칼에 의해 제1 영역(106)을 에칭할 수 있다.
방법 MT2에서는, 공정 ST27에서 정지 조건이 충족되는지 여부가 판정된다. 정지 조건은, 예컨대, 공정 ST25 및 공정 ST26을 포함하는 사이클의 반복 횟수가 소정 횟수에 도달했을 때에 충족된 것으로 판정된다. 정지 조건이 충족되지 않는 경우에는, 공정 ST25 및 공정 ST26을 포함하는 사이클이 다시 실행된다. 한편, 정지 조건이 충족된 경우에는, 방법 MT2가 종료된다. 또한, 도 9의 (b)에서는, 제1 영역(106)의 에칭은 하지층(100)까지 도달하지 않지만, 공정 ST25 및 공정 ST26은 제1 영역(106)의 에칭이 하지층(100)에 도달할 때까지 실행되어도 좋다. 또한, 공정 ST25 및 공정 ST26이 제1 영역(106)의 에칭이 하지층(100)에 도달할 때까지 반복 실행된 후에, 방법 MT1의 공정 ST9 및 공정 ST10과 동일한 공정을 더 실시하여, 산화실리콘으로 구성된 잔사(106b)를 제거하여도 좋다.
이상 설명한 방법 MT2에서는, 공정 ST25 및 공정 ST26을 교대로 복수회 실행함으로써, 제1 영역(106)의 에칭 정지를 방지할 수 있다. 그 결과, 제1 영역(106)의 에칭을 계속할 수 있다. 또한, 방법 MT2에서는, 제1 영역(106)을 제2 영역(104)에 대하여 선택적으로 에칭할 수 있다.
이상, 몇몇 실시형태에 대해서 설명하였지만, 전술한 실시형태에 한정되지 않고 여러 가지 변형 양태를 구성할 수 있다. 예컨대, 전술한 실시형태에서는, 플라즈마 처리 장치(10)는 용량 결합형의 플라즈마 처리 장치였지만, 다른 타입의 플라즈마 처리 장치가 이용되어도 좋다. 예컨대, 유도 결합형의 플라즈마 처리 장치, 마이크로파와 같은 플라즈마원을 이용하는 플라즈마 처리 장치와 같은 여러 가지 플라즈마 처리 장치가 이용될 수 있다.
또한, 방법 MT1의 공정 ST5, 공정 ST6, 공정 ST8, 공정 ST9, 공정 ST10, 및 방법 MT2의 공정 ST25, 공정 ST26은 도 2에 도시된 웨이퍼(W)에 홀(HL)을 형성하기에 적합한 옵션의 공정이다. 따라서, 웨이퍼에 따라서는, 이들 공정이 불필요하다고 여겨지는 경우도 있다. 예컨대, 웨이퍼가, 산화실리콘으로 구성된 제1 영역과 질화실리콘으로 구성된 제2 영역을 갖고 있는 경우에, 이 제1 영역을 선택적으로 에칭하기 위해서, 공정 ST2 및 공정 ST3, 혹은, 공정 ST22 및 ST23만을 포함하는 방법을 실시하는 것이 가능하다.
또한, 전술한 실시형태에서는, 방법 MT1 및 방법 MT2에 의해 도 2에 도시된 웨이퍼(W)의 제1 영역을 에칭하였지만, 피처리체는 도 2에 도시된 웨이퍼(W)에 한정되지 않는다. 방법 MT1 및 방법 MT2는 산화실리콘으로 구성된 영역을 갖는 것이면 임의의 피처리체에 적용 가능하다.
이하, 방법 MT1의 공정 ST2 및 공정 ST3, 그리고, 방법 MT2의 공정 ST22 및 ST23의 평가를 위해 행한 여러 가지 실험예에 대해서 설명한다. 이하에 설명하는 실험예는 단순히 예시를 위해 나타낸 것이며, 본 발명을 한정하는 것은 아니다.
(실험예 1, 2 및 비교 실험예 1)
실험예 1, 2 및 비교 실험예 1에서는, 도 2에 도시된 제2 영역(104) 및 제1 영역(106)을 포함하는 웨이퍼(W)에 대하여, 하기의 처리 조건으로 공정 ST2가 실시된 웨이퍼를 준비하였다. 실험예 1 및 2에서는, 이 웨이퍼에 대하여, 하기의 처리 조건으로 공정 ST3을 실시하여 변질층을 제거하였다. 또한, 실험예 1 및 2에서는, 공정 ST2 및 공정 ST3을 7회 반복함으로써, 제2 영역(104)을 노출시켰다. 비교 실험예 1에서는, 실험예 1과 동일한 웨이퍼에 대하여 열처리 챔버에 의해 하기의 처리 조건으로 가열 처리를 실시하여 변질층을 제거하였다. 또한, 비교 실험예 1에서는, 공정 ST2 및 상기 가열 처리를 7회 반복함으로써, 제2 영역(104)을 노출시켰다. 또한, 비교 실험예 1에 있어서, 가열 처리는 질소 분위기 하에서 행해졌다.
<실험예 1의 처리 조건>
·공정 ST2
처리 용기 내의 압력: 500 mTorr
NF3 가스 유량: 120 sccm
H2 가스 유량: 300 sccm
N2 가스 유량: 300 sccm
Ar 가스 유량: 1000 sccm
제1 고주파 전원(62)의 고주파 전력: 1000 W
제2 고주파 전원(64)의 고주파 바이어스 전력: 0 W
웨이퍼의 온도: 0℃
처리 시간: 45초
·공정 ST3
처리 용기 내의 압력: 50 mTorr
N2 가스 유량: 400 sccm
제1 고주파 전원(62)의 고주파 전력: 300 W
제2 고주파 전원(64)의 고주파 바이어스 전력: 0 W
웨이퍼의 온도: 0℃
처리 시간: 30초
<실험예 2의 처리 조건>
·공정 ST2
처리 용기 내의 압력: 500 mTorr
NF3 가스 유량: 120 sccm
H2 가스 유량: 300 sccm
N2 가스 유량: 300 sccm
Ar 가스 유량: 1000 sccm
제1 고주파 전원(62)의 고주파 전력: 1000 W
제2 고주파 전원(64)의 고주파 바이어스 전력: 0 W
웨이퍼의 온도: 0℃
처리 시간: 45초
·공정 ST3
처리 용기 내의 압력: 50 mTorr
Ar 가스 유량: 400 sccm
제1 고주파 전원(62)의 고주파 전력: 300 W
제2 고주파 전원(64)의 고주파 바이어스 전력: 0 W
웨이퍼의 온도: 0℃
처리 시간: 30초
<비교 실험예 1의 처리 조건>
·공정 ST2
처리 용기 내의 압력: 500 mTorr
NF3 가스 유량: 120 sccm
H2 가스 유량: 300 sccm
N2 가스 유량: 300 sccm
Ar 가스 유량: 1000 sccm
제1 고주파 전원(62)의 고주파 전력: 1000 W
제2 고주파 전원(64)의 고주파 바이어스 전력: 0 W
웨이퍼의 온도: 0℃
처리 시간: 45초
·가열 처리
처리 용기 내의 압력: 5 Torr
웨이퍼의 온도: 180℃
처리 시간: 180초
전술한 실험예 1, 2 및 참고 실험예 1의 처리가 실시된 웨이퍼(W)에 대해서 제2 영역(104)의 폭 방향 중심 부근의 막두께의 변화량, 즉 에칭량(D1)을 측정하였다(도 10). 또한, 마스크(108)의 아래쪽에 위치하는 제1 영역(106)의 폭의 변화량, 즉 사이드 에칭량(D2)을 측정하였다(도 10). 그 결과, 실험예 1, 2에서는, 제2 영역(104)의 에칭량(D1)은 각각 1.6 ㎚, 1.6 ㎚이고, 제1 영역(106)의 사이드 에칭량(D2)은 각각 4 ㎚, 1 ㎚였다. 이에 반해, 비교 실험예 1에서는, 제2 영역(104)의 에칭량(D1)은 1.6 ㎚이며, 제1 영역(106)의 사이드 에칭량(D2)은 13 ㎚였다.
실험예 1, 2의 처리에 의한 제2 영역(104)의 에칭량(D1)과 비교 실험예 1의 처리에 의한 제2 영역(104)의 에칭량(D1)을 비교하면, 양자의 에칭량은 같은 정도였다. 이 결과로부터, 공정 ST3에 따르면, 가열 처리와 마찬가지로, 질화실리콘으로 구성된 영역의 에칭을 억제하면서, 변질층을 제거할 수 있는 것이 확인되었다. 또한, 실험예 1, 2의 처리에 의한 제1 영역(106)의 사이드 에칭량(D2)과 비교 실험예 1의 처리에 의한 제1 영역(106)의 사이드 에칭량(D2)을 비교하면, 실험예 1, 2의 처리에 의한 제1 영역(106)의 사이드 에칭량(D2)은 비교 실험예 1의 처리에 의한 제1 영역(106)의 사이드 에칭량(D2)보다 작았다. 이 결과로부터, 공정 ST3에서는, 가열 처리에 비하여 높은 이방성으로 제1 영역(106)의 변질층을 제거할 수 있는 것이 확인되었다.
또한, 실험예 1의 처리에 의한 제2 영역(104)의 에칭량(D1)과 실험예 2의 처리에 의한 제2 영역(104)의 에칭량(D1)을 비교하면, 양자는 같은 정도였다. 한편, 실험예 1의 처리에 의한 제1 영역(106)의 사이드 에칭량(D2)과 실험예 2의 처리에 의한 제1 영역(106)의 사이드 에칭량(D2)을 비교하면, 실험예 2의 처리에 의한 제1 영역(106)의 사이드 에칭량(D2)은 실험예 1의 처리에 의한 제1 영역(106)의 사이드 에칭량(D2)보다 작았다. 이 결과로부터, 공정 ST3의 처리 가스로서 Ar 가스를 이용한 실험예 2에서는, 공정 ST3의 처리 가스로서 N2 가스를 이용한 실험예 2에 비하여 높은 이방성으로 제1 영역(106)의 변질층을 제거할 수 있는 것이 확인되었다.
10 : 플라즈마 처리 장치, 12 : 처리 용기, 30 : 상부 전극, 34 : 전극판, 40 : 가스 소스군, 42 : 밸브군, 44 : 유량 제어기군, 50 : 배기 장치, 62 : 제1 고주파 전원, 64 : 제2 고주파 전원, 70 : 직류 전원, 100 : 하지층, 102 : 융기 영역, 104 : 제2 영역, 106 : 제1 영역, 106a, 106c : 변질층, 108 : 마스크, Cnt : 제어부, DP : 퇴적물, LE : 하부 전극, PD : 배치대, PF : 보호막, S : 처리 공간, TR : 개질 영역, W : 피처리체.

Claims (13)

  1. 플라즈마 처리 장치의 처리 용기 내에서, 산화실리콘으로 구성된 산화층을 갖는 피처리체로부터 이 산화층을 선택적으로 에칭하는 방법에 있어서,
    상기 처리 용기 내에서, 수소, 질소, 및 불소를 함유하는 가스의 제1 플라즈마를 생성하고, 상기 산화층을 변질시켜, 변질층을 형성하는 공정과,
    상기 변질층을 형성하는 공정 후, 상기 처리 용기 내에서 2차 전자를 상기 피처리체에 조사함으로써 상기 변질층을 제거하는 공정으로서, 상기 처리 용기 내에서 양이온을 갖는 제2 플라즈마가 생성되고, 또한, 상기 플라즈마 처리 장치의 상부 전극에 음의 직류 전압이 인가됨으로써, 상기 제2 플라즈마로부터 생성된 상기 양이온을 상기 상부 전극에 충돌시켜, 이 상부 전극으로부터 상기 2차 전자를 방출시키는, 상기 변질층을 제거하는 공정
    을 포함하는 에칭 방법.
  2. 제1항에 있어서, 상기 변질층을 형성하는 공정에서는, H2, N2, 및 NF3를 함유하는 가스, 혹은, NH3 및 NF3를 함유하는 가스의 상기 제1 플라즈마를 생성하는 것인 에칭 방법.
  3. 제1항 또는 제2항에 있어서, 상기 변질층을 제거하는 공정에서는, 상기 처리 용기 내에 불활성 가스의 상기 제2 플라즈마를 생성하는 것인 에칭 방법.
  4. 제1항 또는 제2항에 있어서, 상기 변질층을 형성하는 공정 및 상기 변질층을 제거하는 공정은 복수회 반복되는 것인 에칭 방법.
  5. 제1항 또는 제2항에 있어서, 상기 피처리체는 질화실리콘으로 구성된 질화층을 더 가지며,
    상기 변질층을 제거하는 공정 후에,
    상기 질화층 상에, 상기 산화층 상에 형성되는 보호막보다도 두꺼운 보호막을 형성하는 공정으로서, 상기 피처리체를 플루오로카본 가스의 제3 플라즈마에 노출시키는, 상기 보호막을 형성하는 공정과,
    상기 산화층을 에칭하는 공정으로서, 플루오로카본 가스의 상기 제3 플라즈마에 상기 피처리체를 노출시키는, 상기 산화층을 에칭하는 공정
    을 더 포함하고,
    상기 보호막을 형성하는 공정에서 상기 피처리체를 배치하는 배치대에 공급되는 고주파 바이어스 전력이, 상기 산화층을 에칭하는 공정에서 상기 배치대에 공급되는 고주파 바이어스 전력보다 작고,
    상기 보호막을 형성하는 공정에서, 상기 피처리체의 온도가 60℃ 이상 250℃ 이하의 온도로 설정되는 것인 에칭 방법.
  6. 제5항에 있어서, 상기 보호막을 형성하는 공정에서는, 상기 배치대에 고주파 바이어스 전력이 공급되지 않는 것인 에칭 방법.
  7. 제5항에 있어서, 상기 질화층은 상기 산화층 내에 매립되어 있고,
    상기 보호막을 형성하는 공정 및 상기 산화층을 에칭하는 공정은, 상기 변질층을 형성하는 공정 및 상기 변질층을 제거하는 공정에 의해 상기 질화층이 노출된 후에 행해지는 것인 에칭 방법.
  8. 제5항에 있어서, 상기 보호막을 형성하는 공정에서는, 상기 플루오로카본 가스로서, C4F6, C4F8, 및 C6F6 중 적어도 1종을 함유하는 가스가 이용되는 것인 에칭 방법.
  9. 제5항에 있어서, 상기 보호막을 형성하는 공정 및 상기 산화층을 에칭하는 공정이 교대로 반복되는 것인 에칭 방법.
  10. 제1항에 있어서, 상기 변질층을 제거하는 공정 후에,
    상기 피처리체를 플루오로카본 가스를 포함하는 처리 가스의 제3 플라즈마에 노출시키는 공정으로서, 상기 산화층을 에칭하고, 또한 이 산화층 상에 플루오로카본을 포함하는 퇴적막을 형성하는, 상기 피처리체를 플루오로카본 가스의 플라즈마에 노출시키는 공정과,
    상기 퇴적막에 포함되는 플루오로카본의 라디칼에 의해 상기 산화층을 에칭하는 공정
    을 더 포함하고,
    상기 피처리체를 플루오로카본 가스의 상기 제3 플라즈마에 노출시키는 공정과, 상기 플루오로카본의 라디칼에 의해 상기 산화층을 에칭하는 공정이 교대로 반복되는 것인 에칭 방법.
  11. 제10항에 있어서, 상기 플루오로카본의 라디칼에 의해 상기 산화층을 에칭하는 공정에서는, 상기 제3 플라즈마에 상기 피처리체가 노출되고, 상기 제3 플라즈마는 희가스를 포함하는 것인 에칭 방법.
  12. 제11항에 있어서, 상기 플루오로카본의 라디칼에 의해 상기 산화층을 에칭하는 공정에서는, 플루오로카본 가스가 공급되지 않는 것인 에칭 방법.
  13. 제10항 또는 제11항에 있어서, 상기 피처리체는, 질화실리콘으로 구성된 질화층을 더 가지며, 이 질화층은 상기 산화층 내에 매립되어 있고,
    상기 피처리체를 플루오로카본 가스를 포함하는 처리 가스의 상기 제3 플라즈마에 노출시키는 공정 및 상기 플루오로카본의 라디칼에 의해 상기 산화층을 에칭하는 공정은, 상기 변질층을 형성하는 공정 및 상기 변질층을 제거하는 공정에 의해 상기 질화층이 노출된 후에 행해지는 것인 에칭 방법.
KR1020150063089A 2014-05-09 2015-05-06 에칭 방법 KR102364322B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014097710A JP6230954B2 (ja) 2014-05-09 2014-05-09 エッチング方法
JPJP-P-2014-097710 2014-05-09

Publications (2)

Publication Number Publication Date
KR20150128582A KR20150128582A (ko) 2015-11-18
KR102364322B1 true KR102364322B1 (ko) 2022-02-16

Family

ID=53188871

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150063089A KR102364322B1 (ko) 2014-05-09 2015-05-06 에칭 방법

Country Status (6)

Country Link
US (1) US9443701B2 (ko)
EP (1) EP2942806A1 (ko)
JP (1) JP6230954B2 (ko)
KR (1) KR102364322B1 (ko)
CN (1) CN105097497B (ko)
TW (1) TWI657499B (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6235981B2 (ja) * 2014-07-01 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法
CN105810579B (zh) 2015-01-16 2019-12-06 东京毅力科创株式会社 蚀刻方法
JP2016157793A (ja) * 2015-02-24 2016-09-01 東京エレクトロン株式会社 エッチング方法
JP6438831B2 (ja) * 2015-04-20 2018-12-19 東京エレクトロン株式会社 有機膜をエッチングする方法
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
JP6606464B2 (ja) * 2016-05-20 2019-11-13 東京エレクトロン株式会社 エッチング方法
JP6689674B2 (ja) * 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
JP6759004B2 (ja) * 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
JP6836953B2 (ja) * 2016-12-13 2021-03-03 東京エレクトロン株式会社 窒化シリコンから形成された第1領域を酸化シリコンから形成された第2領域に対して選択的にエッチングする方法
JP6820206B2 (ja) * 2017-01-24 2021-01-27 東京エレクトロン株式会社 被加工物を処理する方法
JP6832171B2 (ja) * 2017-01-24 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置のチャンバ本体の内部のクリーニングを含むプラズマ処理方法
US10483118B2 (en) * 2017-05-11 2019-11-19 Tokyo Electron Limited Etching method
JP6877316B2 (ja) * 2017-11-08 2021-05-26 東京エレクトロン株式会社 エッチング方法
JP6920245B2 (ja) * 2018-04-23 2021-08-18 東京エレクトロン株式会社 温度制御方法
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
JP7461923B2 (ja) * 2018-07-09 2024-04-04 ラム リサーチ コーポレーション 電子励起原子層エッチング
US10720334B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
JP7203531B2 (ja) * 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7372073B2 (ja) * 2019-08-02 2023-10-31 東京エレクトロン株式会社 基板処理方法、基板処理装置及びクリーニング装置
JP7348019B2 (ja) * 2019-10-09 2023-09-20 株式会社アルバック エッチング方法、および、エッチング装置
CN110867365B (zh) * 2019-11-04 2022-05-27 北京北方华创微电子装备有限公司 等离子体系统
KR102489934B1 (ko) * 2021-02-17 2023-01-18 대전대학교 산학협력단 식각 처리 장치 및 식각 처리 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001210658A (ja) * 2000-01-26 2001-08-03 Nec Corp 電界効果型トランジスタの製造方法
JP2009267352A (ja) * 2008-03-31 2009-11-12 Tokyo Electron Ltd プラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US20130105303A1 (en) * 2011-10-27 2013-05-02 Dmitry Lubomirsky Process chamber for etching low k and other dielectric films

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2804700B2 (ja) * 1993-03-31 1998-09-30 富士通株式会社 半導体装置の製造装置及び半導体装置の製造方法
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
KR100295518B1 (ko) * 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
JP4057198B2 (ja) * 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP2008078515A (ja) * 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
JP5260861B2 (ja) * 2006-11-29 2013-08-14 東京エレクトロン株式会社 キャパシタ電極の製造方法と製造システムおよび記録媒体
JP5352103B2 (ja) * 2008-03-27 2013-11-27 東京エレクトロン株式会社 熱処理装置および処理システム
US8263499B2 (en) * 2008-03-31 2012-09-11 Tokyo Electron Limited Plasma processing method and computer readable storage medium
US8058179B1 (en) * 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8383001B2 (en) * 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
JP4733214B1 (ja) * 2010-04-02 2011-07-27 東京エレクトロン株式会社 マスクパターンの形成方法及び半導体装置の製造方法
JP6059165B2 (ja) * 2014-02-19 2017-01-11 東京エレクトロン株式会社 エッチング方法、及びプラズマ処理装置
JP6320248B2 (ja) * 2014-03-04 2018-05-09 東京エレクトロン株式会社 プラズマエッチング方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001210658A (ja) * 2000-01-26 2001-08-03 Nec Corp 電界効果型トランジスタの製造方法
JP2009267352A (ja) * 2008-03-31 2009-11-12 Tokyo Electron Ltd プラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US20130105303A1 (en) * 2011-10-27 2013-05-02 Dmitry Lubomirsky Process chamber for etching low k and other dielectric films

Also Published As

Publication number Publication date
CN105097497A (zh) 2015-11-25
US20150325415A1 (en) 2015-11-12
JP2015216208A (ja) 2015-12-03
JP6230954B2 (ja) 2017-11-15
EP2942806A1 (en) 2015-11-11
US9443701B2 (en) 2016-09-13
TWI657499B (zh) 2019-04-21
TW201606873A (zh) 2016-02-16
KR20150128582A (ko) 2015-11-18
CN105097497B (zh) 2018-05-08

Similar Documents

Publication Publication Date Title
KR102364322B1 (ko) 에칭 방법
JP6235981B2 (ja) 被処理体を処理する方法
KR102356211B1 (ko) 에칭 방법
KR101744625B1 (ko) 에칭 방법
WO2017208807A1 (ja) エッチング方法
JP6366454B2 (ja) 被処理体を処理する方法
KR102390726B1 (ko) 유기막을 에칭하는 방법
CN110544628A (zh) 对膜进行蚀刻的方法和等离子体处理装置
JP2016076621A (ja) 被処理体を処理する方法
US20200161138A1 (en) Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
KR102505154B1 (ko) 에칭 방법
EP3062338A1 (en) Etching method
KR20210061937A (ko) 막을 에칭하는 방법 및 플라즈마 처리 장치
EP3046137A1 (en) Etching method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant