JP3084497B2 - SiO2膜のエッチング方法 - Google Patents

SiO2膜のエッチング方法

Info

Publication number
JP3084497B2
JP3084497B2 JP04098895A JP9889592A JP3084497B2 JP 3084497 B2 JP3084497 B2 JP 3084497B2 JP 04098895 A JP04098895 A JP 04098895A JP 9889592 A JP9889592 A JP 9889592A JP 3084497 B2 JP3084497 B2 JP 3084497B2
Authority
JP
Japan
Prior art keywords
etching
gas
plasma
sio2 film
processed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP04098895A
Other languages
English (en)
Other versions
JPH05275392A (ja
Inventor
剛平 川村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP04098895A priority Critical patent/JP3084497B2/ja
Priority to US08/036,846 priority patent/US5328558A/en
Publication of JPH05275392A publication Critical patent/JPH05275392A/ja
Application granted granted Critical
Publication of JP3084497B2 publication Critical patent/JP3084497B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/017Clean surfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、ガスを用いてSiO2
膜をエッチングするドライエッチング方法に関する。
【0002】
【従来の技術】Si(シリコン)表面は水または酸素と
反応してSiO2 (二酸化シリコン)膜に変わる。した
がって、Si基板の表面には自然酸化によるSiO2 膜
ができやすく、この自然酸化膜が半導体デバイス製造に
おいて望ましくない場合が多々ある。たとえば、エピタ
キシャル成長において、自然酸化膜は基板表面を汚ごす
ものであり、成長層の品質に悪影響を及ぼす。また、2
56Mビット世代以降のDRAM(Dinamic Randam Acc
ess Memory) 製造プロセスにおいて自然酸化膜がゲート
酸化膜の形成あるいは配線コンタクトの形成に悪影響を
及ぼすことも指摘されている。
【0003】現在、自然酸化膜の最も効果的な除去法
は、緩衝フッ酸(BHF)溶液によるウェットエッチン
グである。このウェット処理法は、選択比の高いエッチ
ングが可能であるが、高アスペクト比構造のデバイスへ
の適用においては、溝や孔内部へ溶液が浸透しにくいた
めに自然酸化膜の除去が不完全であったり、またエッチ
ング後にトレンチ内から溶液が除去しにくい等の難点が
ある。このような事情から、ドライプロセスによる自然
酸化膜除去法の要請があり、一例としてHF蒸気による
エッチング法が提案されている。
【0004】一方、半導体デバイス製造のコンタクトや
ヴィアホール形成工程においては、フィールドや層間絶
縁膜のSiO2 膜がフォトレジストで形成された微細パ
ターンマスクによりエッチングされ、下地膜のところで
エッチングが停止される必要がある。この目的のため、
現在、CF4 +H2 やCHF3 +O2 などを用いた反応
性イオンエッチング(RIE)が不可欠になっている。
【0005】
【発明が解決しようとする課題】ところが、上記したH
F蒸気によるドライエッチング法においては、エッチン
グ後の基板表面にウエット処理よりも高い濃度のフッ素
(F)が残留しやすく、この残留フッ素がその後のプロ
セスに悪影響を及ぼすおそれがある。
【0006】また、SiO2 のRIE法では、一般にS
i−O結合は88kcal/molとSi−Si結合の
42kcal/molよりもはるかに大きく、この結合
を切るため、約500eV以上の高エネルギのフロロカ
ーボンイオン衝撃が必要であり、そのためにSi基板に
誘起される衝撃損傷または照射損傷が大きく、生じた損
傷層やフロロカーボン汚染層の除去に、フッ素原子を用
いたドライエッチングを行うと、Si基板に荒れやpn
接合層を突き抜けたり、本RIE法の次世代デバイスへ
の適用が危ぶまれている。また、選択比もまだ不十分で
あるのが実情である。
【0007】本発明は、かかる従来の問題点に鑑みてな
されたもので、高選択比が得られ、基板への損傷が少な
く、汚染の少ないSiO2 膜のエッチング方法を提供す
ることを目的とする。
【0008】
【課題を解決するための手段】上記の目的を達成するた
めに、本発明の一態様によるエッチング方法は、被処理
体上のSiO2 膜をエッチングする方法において、真空
容器内の載置台の上に前記被処理体を載置する工程と、
水素ガスと窒素ガスとを含む第1のガスをプラズマまた
は光で励起して前記被処理体に供給する工程と、フッ素
を含む第2のガスをプラズマまたは光で励起せずに前記
被処理体に供給する工程とを有する方法とした。 本発明
の別の態様によるエッチング方法は、被処理体上のSi
O2 膜をエッチングする方法において、真空容器内の載
置台の上に前記被処理体を載置する工程と、水素ガスと
窒素ガスとを含む第1のガスをプラズマまたは光で励起
して得られる活性種にフッ素を含む第2のガスを励起せ
ずに導入して前記被処理体に供給する工程とを有する方
法とした。 上記のエッチング方法において、好ましく
は、前記第1のガスを水素ガスと窒素ガスのみで構成し
てよく、前記第2のガスをNF3ガスとしてよい。ま
た、エッチング中は、前記被処理体を常温以下に冷却す
ることとしてよい。
【0009】本発明の他の態様によるエッチング方法
は、被処理体上のSiO2 膜をエッチングする方法にお
いて、真空容器内の載置台の上に前記被処理体を載置す
る工程と、水素および窒素を含む第1のガスをプラズマ
または光で励起して前記真空容器内の載置台の上方に設
けられた下面に多孔板を有するバッファ室に導入する工
程と、フッ素を含む第2のガスをプラズマまたは光で励
起せずに前記バッファ室に導入する工程とを有し、前記
バッファ室の多孔板より水素活性種、窒素活性種および
フッ素活性種をダウンストリームで前記被処理体に供給
する方法とした。 本発明の更に他の態様によるエッチン
グ方法は、被処理体上のSiO2 膜をエッチングする方
法において、真空容器内の載置台の上に前記被処理体を
載置する工程と、水素を含む第1のガスをプラズマまた
は光で励起して前記真空容器内の載置台の上方に設けら
れた下面に多孔板を有するバッファ室に導入する工程
と、フッ素および窒素を含む第2のガスをプラズマまた
は光で励起せずに前記バッファ室に導入する工程とを有
し、前記バッファ室の多孔板より水素活性種、フッ素活
性種および窒素活性種をダウンストリームで前記被処理
体に供給する方法とした。
【0010】本発明の更に他の態様によるエッチング方
法は、被処理体上のSiO2 膜をエッチングする方法に
おいて、真空容器内の載置台の上に前記被処理体を載置
する工程と、水素および窒素を含む第1のガスをプラズ
マまたは光で励起せずに前記真空容器内の載置台の上方
に設けられた下面に多孔板を有するバッファ室に導入す
る工程と、フッ素を含む第2のガスをプラズマまたは光
で励起して前記バッファ室に導入する工程とを有し、前
記バッファ室の多孔板より水素活性種、窒素活性種およ
びフッ素活性種をダウンストリームで前記被処理体に供
給する方法とした。 本発明の更に他の態様によるエッチ
ング方法は、被処理体上のSiO2 膜をエッチングする
方法において、真空容器内の載置台の上に前記被処理体
を載置する工程と、水素を含む第1のガスをプラズマま
たは光で励起せずに前記真空容器内の載置台の上方に設
けられた下面に多孔板を有するバッファ室に導入する工
程と、フッ素および窒素を含む第2のガスをプラズマま
たは光で励起して前記バッファ室に導入する工程とを有
し、前記バッファ室の多孔板より水素活性種、フッ素活
性種および窒素活性種をダウンストリームで前記被処理
体に供給する方法とした。
【0011】
【作用】本発明者は、本発明に到達する過程で、次のよ
うな極めて重要な事実を見い出した。つまり、本発明者
は、水素ガスを含む第1のガスとフッ素を含む第2のガ
スとの混合ガスをプラズマで励起して得られる活性種に
よるエッチング特性と基板温度との間に相関関係がある
ことを突き止め、基板温度を常温以下に冷却することに
よって、SiO2 膜に対するエッチング特性、特にSi
O2 /Si選択比を大幅に向上させ得ることを見い出し
た。その後、第1のガスのみをプラズマで励起し、第2
のガスを生で導入しても、またその逆でも、エッチング
速度は若干低いものの、同様の効果を得た。また、プラ
ズマの代わりに、ArFのエキシマレーザを用いても、
同様の効果が得られたが、プラズマ法が最も効果的だっ
た。Siがエッチングされないのは、Si上に(NH4)
2 SiF6 なる堆積膜が形成され、それがエッチングの
保護膜となっていることが判っている。
【0012】図3に、本発明のエッチング方法によるエ
ッチング特性例を示す。この例は、第1のガスとしてH
2 を、第2のガスとしてNF3 をそれぞれ選択して、両
ガスNF3 、H2 の混合比を1:2とし、混合ガスの全
圧を0.2Torr、プラズマ発生用のマイクロ波出力
を50Wとした条件の下で、プラズマ励起によるF,
H,Nの活性種をSi 基板にダウンストリームで供給
し、Si基板を室温以下に冷却した場合のSiO2 とS
iのエッチング速度の温度依存性を示す。この特性例に
よれば、室温以下ではどんな温度でもSiはエッチング
されないが、SiO2 の方はエッチングされ、かつ低温
になるほどエッチング速度が増大することがわかる。本
実験によれば、SiO2 のエッチング速度は−120゜
Cで約800Å/分に達した。
【0013】このように、無限大のSiO2 /Si選択
比を得ることができる。また、活性種による化学反応の
エッチングであるから、Si基板を損傷することがな
い。さらに、カーボンを含まないエッチングガスを用い
るので、その汚染のおそれもない。なお、このエッチン
グ方法は、等方性エッチングであるから、自然酸化膜の
除去に好適なものである。
【0014】図4に、本発明の別のエッチング方法にお
けるエッチング特性例を示す。この例は、第1のガスと
してH2を、第2のガスとしてNF3をそれぞれ選択し、
NF3 ガスを50mTorr一定にしたとき、プラズマ
発生用のマイクロ波(2.45GHz)出力を50Wと
した条件の下で、プラズマ励起によるF,H,Nの活性
種をSi 基板上にダウンストリームで供給し、Si基板
を常温にした場合のSiO2 とSiの各エッチング速度
のH2 のNF3 に対する濃度比依存性を示す。この特性
例によれば、Siのみならず、SiO2 も濃度比160
で完全にエッチングが停止した。このSiO2 の自発性
エッチングの停止する理由は、Hの添加によってSiO
2 表面に安定層が形成され、さらにその上にSi上と同
様、(NH4)2 SiF6 と考えられる堆積膜が形成さ
れ、それが保護膜となっているためである。
【0015】本発明者は、このように自発性エッチング
が停止した状態の下で、不活性原子たとえばアルゴン
(Ar)をプラズマで励起して、その不活性プラズマま
たは低エネルギイオンをSi基板に一定時間だけ照射す
ると、SiはエッチングされずにSiO2 だけが選択的
にエッチングされることを見い出した。このことは、活
性種がSi基板上に供給されながらも自発性エッチング
が行われないときは、活性種がSiO2 表面に吸着した
状態となり、そこへ不活性プラズマまたは低エネルギイ
オンが作用することで、活性種が励起されてSiO2 と
化学反応し、SiO2 膜がエッチングされるものと考え
られる。また、図3に示すように、低温にすることによ
って活性種の吸着量を増やし、反応の増速を図ることが
できる。ところで、Siがエッチングされないのは、図
3の場合と同様に堆積膜の保護効果によるものと考えら
れる。
【0016】この場合、エッチングの進む方向は不活性
プラズマまたは低エネルギイオンの入射角に依存する。
また、エッチングの際には基板上の堆積膜が側壁に付着
し、横方向のエッチングを防ぐ働きをするために、異方
性エッチングが可能となる。この第2のエッチング方法
による異方性エッチングにおいても、高い選択比が得ら
れるだけでなく、基本的には活性種による化学反応的な
エッチングであるからSi基板の損傷が少なく、またエ
ッチングガスにカーボンが含まれないので、その汚染の
おそれもない。
【0017】本発明のさらに別のエッチング方法におい
ては、第1のガスとしてH2を、第2のガスとしてNF3
をそれぞれ選択し、たとえば、半導体基板にRF(1
3.56MHz)電力を印加してプラズマを発生させ、
それら第1および第2のガスの混合ガスのプラズマある
いは低エネルギイオンを前記基板に照射する。なお、基
板温度は室温以下の所定の温度に維持するものとする。
この方法によれば、基板方向に対して垂直に照射される
イオンが、基板に吸着した活性種の反応を促進するのと
同時に、反応時に生成される(NH4)2 SiF6 なる膜
が、側壁に付着し横方向の自発エッチングを防ぎ、異方
性エッチングを達成することができた。このエッチング
方法による異方性エッチングにおいても、高い選択比が
得られるだけでなく、基本的には活性種による化学反応
的なエッチングであるからSi基板への損傷が少なく、
またエッチングガスにカーボンが含まれないので、その
汚染のおそれもない。
【0018】
【実施例】以下、図1〜図5を参照して本発明の実施例
によるSiO2 膜のエッチング方法を説明する。
【0019】図1は、本発明の一実施例によるSiO2
膜のエッチング方法を実施するためのエッチング装置の
構成を示す図である。このエッチング装置において、真
空チャンバ10内の下部中央に円板形のサセプタ12が
配設され、このサセプタ12上に被処理体としてのSi
基板つまり半導体ウエハ14が載置される。サセプタ1
2内には冷却ガスを流すための通路12aが設けられて
おり、この通路に配管16が接続され、冷却媒体供給装
置18より配管16を介して冷却ガスたとえば窒素ガス
がサセプタ12内に供給され、この供給された冷却ガス
によってサセプタ12が冷却されるようになっている。
【0020】サセプタ12の温度ひいてはウエハ14の
温度は、冷却媒体供給装置18より供給される冷却ガス
の流量を調整することによって制御される。この温度制
御を行うため、サセプタ12に温度センサたとえば熱電
対20が取付され、この熱電対20の検出温度に応じて
温度制御部22より流量制御信号が冷却媒体供給装置1
8に与えられ、冷却ガスの供給流量が調整されるように
なっている。また、ウエハ14表面に均一なエッチング
が行われるよう、サセプタ12は回転軸24を介して駆
動モータ26の回転駆動力で回転するようになってい
る。
【0021】真空チャンバ10の上部中央には下面に多
孔板28を取付したバッファ室30が設けられ、このバ
ッファ室30内にたとえばステンレス製の配管32,3
4の一端部が臨んでいる。一方の配管32はプラズマ励
起による活性種をチャンバ10内に導入するためのもの
で、配管32の他端部には開閉弁36,38および流量
制御装置(MFC)40,42を介してNF3 ガス供給
源44,H2 ガス供給源46が接続され、配管32の途
中にはプラズマを発生させるためのマイクロ波導波管4
8が容量結合方式で接続されている。
【0022】他方の配管34はプラズマ状態のArまた
はプラズマ中のArイオンをチャンバ10内に導入する
ためのもので、配管34の他端部には開閉弁50および
流量制御装置(MFC)52を介してArガス供給源5
4が接続される。プラズマを発生させるための2.45
GHzの高周波電源56は、マッチングボックス57を
介してバッファ室30に接続される。また、マグネット
コイル58が真空チャンバ10に取付される。
【0023】真空チャンバ10の下部にはチャンバ内を
真空排気するための排気口60が設けられている。この
排気口60には配管62の一端部が接続され、配管62
の他端部は開閉弁64を介して真空ポンプ66に接続さ
れている。
【0024】かかる構成のエッチング装置においては、
自然酸化膜の等方エッチングを行うことが可能であり、
また人工的なSiO2 膜の選択エッチングまたはパター
ンエッチングを行うことも可能である。
【0025】先ず、たとえば半導体デバイス製造の前処
理として自然酸化膜を除去する場合のエッチングについ
て説明する。この場合、Arガスは使用しないので、開
閉弁50を閉じておく。一方、NF3 ガスを第1のガス
として、H2 ガスを第2のガスとしてそれぞれ使用する
ので、開閉弁36,38を開け、MFC40,42によ
り各ガスの供給流量を調整して、NF3 ガスとH2 ガス
の混合ガスをたとえばNF3 /H2 混合比1:2、全圧
0.2Torrで送り込む。そして、図示しないマグネ
トロンよりマイクロ波導波管48を介して周波数2.4
5GHz、パワー50Wのマイクロ波を供給し、配管3
2内でプラズマを発生させる。このプラズマによって生
成されたフッ素活性種F* ,水素活性種H* ,窒素活性
種N* は配管32内を下ってバッファ室30に入り、そ
こから多孔板28を通って降り注ぐようにして(ダウン
ストリームで)ウエハ14に供給される。
【0026】サセプタ12上のウエハ14は、冷却装置
18によりサセプタ12を通じて常温以下に冷却されて
いる。活性種F* ,H* ,N* は、このように低温に冷
やされたウエハ14上に降り、基板表面の自然酸化膜に
吸着されSiO2 と効率よく反応する。反応生成物は気
化してチャンバ10底部の排気口60より外へ排気され
る。
【0027】このようにして、図3に示すようなエッチ
ング特性を得ることが可能であり、無限大のSiO2 /
Si選択比で自然酸化膜をエッチングすることができ
る。しかも、このエッチングは、高エネルギのプラズマ
またはイオンを基板に照射する物理的なエッチングとは
違って、活性種F* ,H* ,N* による純粋な化学的エ
ッチングであるから、ウエハ14が損傷するおそれはな
い。また、使用するエッチングガスNF6 ,H2 にカー
ボンは含まれないから、カーボン汚染するおそれもな
い。
【0028】次に、フォトリソグラフィ工程においてS
iO2 膜の選択エッチングつまりパターンエッチングを
行う場合について説明する。この場合でも、上述した自
然酸化膜の除去(等方性エッチング)と同様に、NF3
ガスおよびH2 ガスをそれぞれ第1および第2のガスと
して使用し、その混合ガスをプラズマで励起して活性種
F* ,H* ,N* を生成し、それらの活性種をダウンス
トリームでサセプタ14上のウエハ12に供給する。た
だし、NF3 ガスとH2 ガスの混合比を1:160に選
ぶ。また、ウエハ14を約−100゜Cまで冷却する。
かかる条件下においては、上流側のプラズマからダウン
ストリームしてきた活性種F* ,H* ,N* はウエハ1
4表面に吸着されるが、SiO2 とは反応しない。つま
り、自発性エッチングは行われない。
【0029】このようにして自発性エッチングを停止ま
たは凍結させた状態の下で、開閉弁50を開けてArガ
ス源54からのArガスを配管34に送り込み、配管途
中で高周波電源56およびマグネットコイル58により
Arの不活性プラズマを生成せしめ、その不活性プラズ
マないしArイオンをバッファ室30を介して多孔板2
8よりウエハ14に一定時間たとえば10秒だけ照射す
る。この場合、Arイオンのエネルギをたとえば20e
v程度に抑える。
【0030】このように、ウエハ14上に吸着している
活性種F* ,H* ,N* に上方からArの不活性プラズ
マまたは低エネルギイオンを照射することで、それらの
活性種が励起されてSiO2 と反応し、エッチングす
る。一方、これらの活性種は、照射時間が約10秒以内
であればSiとは反応せず、エッチングしない。このよ
うに、SiはエッチングされずにSiO2 だけがエッチ
ングされるので、無限大のSiO2 /Si選択比が得ら
れる。
【0031】このエッチングの進む方向は不活性プラズ
マまたは低エネルギイオンの照射角によって決まる。し
たがって、照射角を90゜つまり垂直方向に照射するこ
とで異方性エッチングが可能となる。また、このエッチ
ングは基本的には活性種による化学反応であるから、S
i基板(ウエハ)14の損傷が少ない。また、エッチン
グガスNF3 ,H2 ,Arにカーボンは含まれないの
で、汚染がない。
【0032】なお、上記のように不活性プラズマまたは
低エネルギイオンの照射を一定周期で繰り返すことによ
って、いわゆるディジタルエッチングも可能である。
【0033】図2に、ディジタルエッチングを行う場合
の1周期内のタイミング例を示す。この例では、マイク
ロ波導入管48に、所定時間Ta (たとえば1秒)だけ
マイクロ波を与えて活性種をダウンストリームさせてか
ら、いったんチャンバ10内を排気したうえで、所定時
間(たとえば5秒)だけ高周波電源56を印加し、その
直後に再び排気する。この1サイクルのエッチングよっ
て、SiO2 膜をたとえば4〜10Åだけエッチングす
ることができる。したがって、このエッチングサイクル
を任意の回数繰り返すことで、SiO2 膜を任意の深さ
にエッチングすることができる。
【0034】図5に異方性エッチングの別の例を示す。
NF3 ガスおよびH2 ガスをそれぞれ第1および第2の
ガスとして使用し、その混合ガスを、RF(13.56
MHz)電力を印加してプラズマを発生させ、それら混
合ガスをサセプタ112上のウエハ114に照射する。
ただし、NF3 ガスとH2 ガスの混合比を1:160に
選ぶ。また、ウエハ114を約−100゜Cまで冷却す
る。この混合ガス比ではSiO2 とは反応せず、つまり
自発エッチングは行われない。
【0035】このプロセスを以下に示す。流量制御装置
140,142を開けてNF3 供給源144およびH2
供給源146から、NF3 ガスおよびH2 ガスを所定の
流量で、配管134からバッファ室130を介して多孔
板128よりウエハ114に供給する。流量比はH2 /
NF3 =160:1以上とし、自発エッチングを停止ま
たは凍結させた状態にする。この時、ウエハ114を載
置したサセプタ112に接続されているRF電源156
よりRF電力をマッチングボックス157を介して印加
し、これら混合ガスのプラズマを生成せしめ、これらの
プラズマあるいはイオンをウエハ114に照射する。
【0036】このように、ウエハ114に吸着されてい
る、プラズマで生成された活性種は低エネルギのイオン
照射で励起されてSiO2 と反応しエッチングする。こ
のエッチングの進行の際、エッチングの進行方向はイオ
ンの照射角によって決まるが側壁にはこれらエッチング
の反応生成物が付着し、イオンの照射を受けにくい。し
たがって、照射角を90゜つまり垂直方向に照射するこ
とによって異方性エッチングが可能となる。また、基本
的には活性種による化学反応的なエッチングであるから
Si基板(ウエハ)114への損傷が少なく、またエッ
チングガスにカーボンが含まれないので、その汚染のお
それもない。
【0037】なお、プラズマ生成方法は本方法のような
RF放電に限られたものではない。また、上述した実施
例では第1および第2のガスとしてそれぞれH2,NF3
を使用したが、本発明におけるガスの種類はこれらに
限定されるものではなく、たとえば第2のガスとしては
F2 ガスやSF6 ガス等も可能である。また、第1のガ
スとしてH2ガスにN2 ガスを組み合わせたものも可能
である。また、Arガスの代わりに、Krガス、Neガ
ス、Xeガス等の他の不活性ガスを使用してもよい。ま
た、プラズマの生成法としては、平行平板型,RF型,
ECR型等いずれの型式でも可能である。
【0038】
【発明の効果】以上説明したように、本発明におけるS
iO2膜のエッチング方法によれば、原料ガスとして
素ガスと窒素ガスとを含む第1のガスとフッ素を含む第
2のガスを使用し、第1のガスはプラズマまたは光で励
起して、第2のガスは励起しないでそれぞれ被処理体に
供給することにより、高選択比で基板への損傷や汚染の
無い等方性エッチングを行うことができる。また、本発
明におけるSiO2膜のエッチング方法によれば、原料
ガスとして水素および窒素を含む(または水素を含む)
第1のガスとフッ素(またはフッ素および窒素を含む)
を含む第2のガスを使用し、それら第1および第2のガ
スの一方をプラズマまたは光で励起するとともに他方を
生のまま(プラズマまたは光で励起せずに)共通のバッ
ファ室に導入し、該バッファ室の多孔板より水素活性
種、フッ素活性種および水素活性種をダウンストリーム
で被処理体に供給することにより、高選択比で基板への
損傷や汚染の無いエッチングを行うことができる。
【図面の簡単な説明】
【図1】本発明の一実施例によるSiO2 膜のエッチン
グ方法を実施するためのエッチング装置の構成を示す図
である。
【図2】実施例においてディジタルエッチングを行う場
合の1周期内のタイミング例を示す図である。
【図3】本発明の第1のエッチング方法によるエッチン
グ特性を示す図である。
【図4】本発明の第2のエッチング方法におけるエッチ
ング特性を示す図である。
【図5】本発明の別の実施例によるSiO2 膜のエッチ
ング方法を実施するためのエッチング装置の構成を示す
図である。
【符号の説明】
10 真空チャンバ 12 サセプタ 14 半導体ウエハ 18 冷却媒体供給装置 44 NF3 ガス供給源 46 H2 ガス供給源 48 マイクロ波導波管 54 Arガス供給源 56 高周波電源 110 真空チャンバ 112 サセプタ 114 半導体ウエハ 118 冷却媒体供給装置 144 NF3 ガス供給源 146 H2 ガス供給源 156 高周波電源
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 昭62−36825(JP,A) 特開 平2−256235(JP,A) 特開 平3−276719(JP,A) 特開 平2−262334(JP,A) 特開 昭64−86521(JP,A) 特開 昭63−99533(JP,A) 特開 昭61−226917(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065

Claims (10)

    (57)【特許請求の範囲】
  1. 【請求項1】 被処理体上のSiO2 膜をエッチングす
    る方法において、 真空容器内の載置台の上に前記被処理体を載置する工程
    と、水素ガスと窒素ガスと を含む第1のガスをプラズマまた
    は光で励起して前記被処理体に供給する工程と、 フッ素を含む第2のガスをプラズマまたは光で励起せず
    に前記被処理体に供給する工程とを有することを特徴と
    するSiO2 膜のエッチング方法。
  2. 【請求項2】 被処理体上のSiO2 膜をエッチングす
    る方法において、 真空容器内の載置台の上に前記被処理体を載置する工程
    と、水素ガスと窒素ガスと を含む第1のガスをプラズマまた
    は光で励起して得られる活性種にフッ素を含む第2のガ
    スを励起せずに導入して前記被処理体に供給する工程と
    を有することを特徴とするSiO2 膜のエッチング方
    法。
  3. 【請求項3】 前記第1のガスが水素ガスと窒素ガスの
    みで構成されることを特徴とする請求項1または2に記
    載のSiO2 膜のエッチング方法。
  4. 【請求項4】 前記第2のガスがNF3ガスであること
    を特徴とする請求項1〜3のいずれかに記載のSiO2
    膜のエッチング方法。
  5. 【請求項5】 前記被処理体を常温以下に冷却しながら
    エッチングを行うことを特徴とする請求項1〜4のいず
    れかに記載のSiO2 膜のエッチング方法。
  6. 【請求項6】 被処理体上のSiO2 膜をエッチングす
    る方法において、 真空容器内の載置台の上に前記被処理体を載置する工程
    と、 水素および窒素を含む第1のガスをプラズマまたは光で
    励起して前記真空容器内の載置台の上方に設けられた下
    面に多孔板を有するバッファ室に導入する工程と、 フッ素を含む第2のガスをプラズマまたは光で励起せず
    に前記バッファ室に導入する工程と を有し、前記バッフ
    ァ室の多孔板より水素活性種、窒素活性種およびフッ素
    活性種をダウンストリームで前記被処理体に供給するこ
    とを特徴とするSiO2 膜 のエッチング方法。
  7. 【請求項7】 被処理体上のSiO2 膜をエッチングす
    る方法において、 真空容器内の載置台の上に前記被処理体を載置する工程
    と、 水素を含む第1のガスをプラズマまたは光で励起して前
    記真空容器内の載置台の上方に設けられた下面に多孔板
    を有するバッファ室に導入する工程と、 フッ素および窒素を含む第2のガスをプラズマまたは光
    で励起せずに前記バッファ室に導入する工程と を有し、
    前記バッファ室の多孔板より水素活性種、フッ素活性種
    および窒素活性種をダウンストリームで前記被処理体に
    供給することを特徴とするSiO2 膜のエッチング方
    法。
  8. 【請求項8】 被処理体上のSiO2 膜をエッチングす
    る方法において、 真空容器内の載置台の上に前記被処理体を載置する工程
    と、 水素および窒素を含む第1のガスをプラズマまたは光で
    励起せずに前記真空容器内の載置台の上方に設けられた
    下面に多孔板を有するバッファ室に導入する工程と、 フッ素を含む第2のガスをプラズマまたは光で励起して
    前記バッファ室に導入する工程と を有し、前記バッファ
    室の多孔板より水素活性種、窒素活性種およびフッ素活
    性種をダウンストリームで前記被処理体に供給すること
    を特徴とするSiO2 膜のエッチング方法。
  9. 【請求項9】 被処理体上のSiO2 膜をエッチングす
    る方法において、 真空容器内の載置台の上に前記被処理体を載置する工程
    と、 水素を含む第1のガスをプラズマまたは光で励起せずに
    前記真空容器内の載置台の上方に設けられた下面に多孔
    板を有するバッファ室に導入する工程と、 フッ素および窒素を含む第2のガスをプラズマまたは光
    で励起して前記バッファ室に導入する工程と を有し、前
    記バッファ室の多孔板より水素活性種、フッ素活性種お
    よび窒素活性種をダウンストリームで前記被処理体に供
    給することを特徴とするSiO2 膜のエッチング方法。
  10. 【請求項10】 前記載置台上で前記被処理体を常温以
    下に冷却することを特徴とする請求項6〜9のいずれか
    に記載のSiO2 膜のエッチング方法。
JP04098895A 1992-03-25 1992-03-25 SiO2膜のエッチング方法 Expired - Lifetime JP3084497B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP04098895A JP3084497B2 (ja) 1992-03-25 1992-03-25 SiO2膜のエッチング方法
US08/036,846 US5328558A (en) 1992-03-25 1993-03-25 Method for etching an SiO2 film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP04098895A JP3084497B2 (ja) 1992-03-25 1992-03-25 SiO2膜のエッチング方法

Publications (2)

Publication Number Publication Date
JPH05275392A JPH05275392A (ja) 1993-10-22
JP3084497B2 true JP3084497B2 (ja) 2000-09-04

Family

ID=14231870

Family Applications (1)

Application Number Title Priority Date Filing Date
JP04098895A Expired - Lifetime JP3084497B2 (ja) 1992-03-25 1992-03-25 SiO2膜のエッチング方法

Country Status (2)

Country Link
US (1) US5328558A (ja)
JP (1) JP3084497B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170000360A (ko) 2015-06-23 2017-01-02 도쿄엘렉트론가부시키가이샤 에칭 처리 방법 및 플라즈마 처리 장치
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus

Families Citing this family (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06204494A (ja) * 1993-01-07 1994-07-22 Fujitsu Ltd 絶縁膜の形成方法および半導体素子の製造方法
JP2804700B2 (ja) * 1993-03-31 1998-09-30 富士通株式会社 半導体装置の製造装置及び半導体装置の製造方法
US5783492A (en) * 1994-03-04 1998-07-21 Tokyo Electron Limited Plasma processing method, plasma processing apparatus, and plasma generating apparatus
JP3328416B2 (ja) * 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5510277A (en) * 1994-06-29 1996-04-23 At&T Corp. Surface treatment for silicon substrates
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
KR100351070B1 (ko) * 1995-01-27 2003-01-29 삼성에스디아이 주식회사 전계방출표시소자의제조방법
DE19505906A1 (de) * 1995-02-21 1996-08-22 Siemens Ag Verfahren zum Damage-Ätzen der Rückseite einer Halbleiterscheibe bei geschützter Scheibenvorderseite
US5869405A (en) 1996-01-03 1999-02-09 Micron Technology, Inc. In situ rapid thermal etch and rapid thermal oxidation
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
JP3627451B2 (ja) 1997-06-04 2005-03-09 東京エレクトロン株式会社 表面処理方法及びその装置
US8075789B1 (en) * 1997-07-11 2011-12-13 Applied Materials, Inc. Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
DE19813757C2 (de) 1998-03-27 2000-12-14 Siemens Ag Verfahren zur Herstellung einer mit Fluor belgten Halbleiteroberfläche
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
TW448499B (en) * 1998-11-11 2001-08-01 Tokyo Electron Ltd Surface treatment method and surface treatment apparatus
JP4612063B2 (ja) * 1998-11-11 2011-01-12 東京エレクトロン株式会社 表面処理方法及びその装置
ATE458261T1 (de) * 1998-12-11 2010-03-15 Surface Technology Systems Plc Plasmabehandlungsgerät
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6544429B1 (en) 1999-03-25 2003-04-08 Applied Materials Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
JP4057198B2 (ja) * 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
JP2001168086A (ja) * 1999-12-09 2001-06-22 Kawasaki Steel Corp 半導体装置の製造方法および製造装置
KR100360399B1 (ko) 2000-03-07 2002-11-13 삼성전자 주식회사 반구형입자(hsg)막을 구비한 반도체소자의 제조방법
US6596343B1 (en) * 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6815363B2 (en) * 2000-08-11 2004-11-09 The Regents Of The University Of California Method for nanomachining high aspect ratio structures
JP2002110551A (ja) * 2000-09-27 2002-04-12 Yamanashiken Shokokai Rengokai 半導体薄膜の形成方法及び装置
JP2002113700A (ja) * 2000-10-05 2002-04-16 Sony Corp マイクロマシン製造装置、マイクロマシンの製造方法、回折格子ライトバルブの製造方法および表示装置の製造方法
KR100382725B1 (ko) * 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
US7013091B2 (en) * 2002-01-16 2006-03-14 Pts Corporation Synchronization of pulse and data sources
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
JP2004090534A (ja) * 2002-09-02 2004-03-25 Tokyo Electron Ltd 基板の加工装置および加工方法
US7375035B2 (en) 2003-04-29 2008-05-20 Ronal Systems Corporation Host and ancillary tool interface methodology for distributed processing
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
KR100580584B1 (ko) * 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4852261B2 (ja) * 2005-05-17 2012-01-11 キヤノンアネルバ株式会社 シリコン化合物の形成方法
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7749400B2 (en) * 2005-12-16 2010-07-06 Jason Plumhoff Method for etching photolithographic substrates
US7335602B2 (en) * 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
JP2009266999A (ja) * 2008-04-24 2009-11-12 Renesas Technology Corp 半導体装置、およびその製造方法
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101252742B1 (ko) * 2011-08-02 2013-04-09 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8808561B2 (en) * 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9257300B2 (en) 2013-07-09 2016-02-09 Lam Research Corporation Fluorocarbon based aspect-ratio independent etching
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6086862B2 (ja) * 2013-08-30 2017-03-01 東京エレクトロン株式会社 酸化シリコンから構成された領域を選択的に除去する方法及びプラズマ処理装置
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6059165B2 (ja) 2014-02-19 2017-01-11 東京エレクトロン株式会社 エッチング方法、及びプラズマ処理装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9631276B2 (en) * 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
JP6408903B2 (ja) * 2014-12-25 2018-10-17 東京エレクトロン株式会社 エッチング処理方法及びエッチング処理装置
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6568822B2 (ja) * 2016-05-16 2019-08-28 東京エレクトロン株式会社 エッチング方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
DE102017120963A1 (de) * 2017-09-11 2019-03-14 Aixtron Se Verfahren zum Ätzen einer Silizium enthaltenden Oberfläche
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JP2626913B2 (ja) * 1988-07-29 1997-07-02 三菱電機株式会社 シリコン表面の処理方法
US4985372A (en) * 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5236868A (en) * 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170000360A (ko) 2015-06-23 2017-01-02 도쿄엘렉트론가부시키가이샤 에칭 처리 방법 및 플라즈마 처리 장치
US9922806B2 (en) 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus

Also Published As

Publication number Publication date
JPH05275392A (ja) 1993-10-22
US5328558A (en) 1994-07-12

Similar Documents

Publication Publication Date Title
JP3084497B2 (ja) SiO2膜のエッチング方法
US9947549B1 (en) Cobalt-containing material removal
EP1314192B1 (en) Method for selective etching of oxides
US6060400A (en) Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US5302236A (en) Method of etching object to be processed including oxide or nitride portion
KR100279091B1 (ko) 에칭방법 및 에칭장치
EP0430303A2 (en) Improved process for selective deposition of tungsten on semiconductor wafer
EP0243273A2 (en) Method for planarizing semiconductor substrates
JPH08264510A (ja) シリコン窒化膜のエッチング方法およびエッチング装置
US9947768B2 (en) Method for forming spacers for a transistor gate
US5413954A (en) Method of making a silicon-based device comprising surface plasma cleaning
EP0933806A1 (en) Method for cleaning plasma treatment device and method for plasma treatment
JP3275043B2 (ja) エッチングの後処理方法
JP3408409B2 (ja) 半導体装置の製造方法およびドライエッチング装置の反応室環境制御方法
JPH0831441B2 (ja) 表面処理方法
KR100272185B1 (ko) 이산화실리콘막의 에칭방법
JP2950785B2 (ja) 酸化膜のドライエッチング方法
JP3124599B2 (ja) エッチング方法
JPH04137532A (ja) 表面処理方法及びその装置
JPS6328995B2 (ja)
JPH03276719A (ja) デジタルエツチング方法
JP2000012521A (ja) プラズマアッシング方法
Kawamura et al. Method for etching an SiO 2 film
JPH03155621A (ja) ドライエッチング方法
JP2598524B2 (ja) ドライエッチング方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120707

Year of fee payment: 12

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120707

Year of fee payment: 12