WO2017208807A1 - エッチング方法 - Google Patents

エッチング方法 Download PDF

Info

Publication number
WO2017208807A1
WO2017208807A1 PCT/JP2017/018347 JP2017018347W WO2017208807A1 WO 2017208807 A1 WO2017208807 A1 WO 2017208807A1 JP 2017018347 W JP2017018347 W JP 2017018347W WO 2017208807 A1 WO2017208807 A1 WO 2017208807A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
region
frequency power
etching
plasma
Prior art date
Application number
PCT/JP2017/018347
Other languages
English (en)
French (fr)
Inventor
芳成 畑崎
和香子 石田
謙介 谷口
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to CN201780034555.XA priority Critical patent/CN109219867B/zh
Priority to KR1020187019992A priority patent/KR102460164B1/ko
Priority to US16/069,757 priority patent/US10658189B2/en
Publication of WO2017208807A1 publication Critical patent/WO2017208807A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Definitions

  • the embodiment of the present invention relates to an etching method.
  • a process of forming an opening such as a hole or a trench may be performed on a region formed of silicon oxide (SiO 2 ).
  • SiO 2 silicon oxide
  • an object to be processed is exposed to a fluorocarbon gas plasma, and the region is etched.
  • a technique for selectively etching a first region made of silicon oxide with respect to a second region made of silicon nitride is known.
  • a SAC (Self-Aligned Contact) technique is known.
  • the SAC technique is described in Patent Document 2.
  • a target object to be processed by the SAC technique has a first region made of silicon oxide, a second region made of silicon nitride, and a mask.
  • the second region is provided so as to define a concave portion
  • the first region is provided so as to fill the concave portion and cover the second region
  • the mask is provided on the first region. And provides an opening over the recess.
  • plasma of a processing gas containing a fluorocarbon gas, an oxygen gas, and a rare gas is used for etching the first region. By exposing the object to be processed to the plasma of the processing gas, the first region is etched in the portion exposed from the opening of the mask to form the upper opening.
  • the portion surrounded by the second region, that is, the first region in the recess is etched in a self-aligned manner. Thereby, a lower opening continuous with the upper opening is formed in a self-aligning manner.
  • Patent Document 3 A technique using an organic film as an etching target (Patent Document 3), a technique using silicon as an etching target (Patent Document 4), and a technique of etching SiO 2 after pulse etching of an organic film (Patent Document 5) are known. ing.
  • the first etching method is a method of selectively etching a first region made of silicon oxide with respect to a second region made of silicon nitride by a plasma treatment on an object to be processed.
  • the processing body includes the second region that defines a recess, the first region that is provided so as to fill the recess and cover the second region, and a mask that is provided on the first region.
  • the mask provides an opening having a width larger than the width of the concave portion on the concave portion, and the method uses plasma of a processing gas containing a fluorocarbon gas in a processing container containing the object to be processed.
  • This method includes the second step of selectively etching the first region made of silicon oxide by the fluorocarbon radical, so that the first region is etched and the second region made of silicon nitride is etched. Scraping is suppressed.
  • a deposit is formed by plasma of a processing gas containing a fluorocarbon gas or a processing gas containing a fluorocarbon gas and an inert gas. Moreover, the amount of deposits is moderately reduced by the active species of oxygen due to the active species of oxygen.
  • the sputtered silicon oxide can escape to the outside of the recess during the OFF period when no pulse is applied, so that the silicon oxide reattaches in the recess. Is suppressed, and etching can be performed with high accuracy.
  • the pulse OFF period is present, excessive ion acceleration can be suppressed, and therefore, excessive etching on the opening end face of the recess can be suppressed.
  • the etching in the second step is performed by a processing gas that does not substantially contain oxygen.
  • a processing gas that does not substantially contain oxygen In this method, selective etching of the first region with respect to the second region is efficiently performed.
  • substantially not containing oxygen means that oxygen is not intentionally introduced into the processing gas.
  • the plasma in the first step is a plasma of a processing gas containing a fluorocarbon gas, an oxygen-containing gas, and an inert gas.
  • the plasma of these gases can form a deposit on the first region and the second region, and the silicon oxide can be selectively etched by applying energy to the deposit.
  • a first high-frequency power for generating plasma is applied to an upper electrode provided on an upper part of the object to be processed in the processing container, and a lower part of the object to be processed is provided.
  • a second high-frequency power for ion attraction to the lower electrode provided, and performing a modulation that alternately switches a period in which the first high-frequency power and the second high-frequency power are turned on and a period in which the second high-frequency power is turned off, Generates pulsed high frequency power.
  • a ratio (duty ratio) with respect to a pulse period of the period in which the pulsed high-frequency power is turned on is 10% or more and 70% or less. In this case, the amount of silicon nitride located on the opening end face (shoulder) of the recess can be suppressed.
  • the ratio (duty ratio) to the pulse period of the period in which the high frequency power in the pulse waveform is ON is 50% or more and 60% or less. In this case, the amount of silicon nitride located on the opening end face (shoulder) of the recess can be further suppressed.
  • the first region can be selectively etched with high accuracy.
  • FIG. 1 is a flowchart showing an etching method according to an embodiment.
  • FIG. 2 is a cross-sectional view illustrating a target object to which the etching method according to an embodiment is applied.
  • FIG. 3 is a diagram schematically showing an example of a plasma processing apparatus that can be used to implement the method shown in FIG.
  • FIG. 4 is a cross-sectional view showing an object to be processed in an intermediate stage of the method shown in FIG.
  • FIG. 5 is a cross-sectional view showing an object to be processed in an intermediate stage of the method shown in FIG.
  • FIG. 6 is a cross-sectional view showing an object to be processed in an intermediate stage of the method shown in FIG.
  • FIG. 1 is a flowchart showing an etching method according to an embodiment.
  • FIG. 2 is a cross-sectional view illustrating a target object to which the etching method according to an embodiment is applied.
  • FIG. 3 is a diagram schematically showing an example of a plasma processing apparatus that can be used
  • FIG. 7 is a cross-sectional view showing an object to be processed in an intermediate stage of the method shown in FIG.
  • FIG. 8 is a cross-sectional view showing an object to be processed in an intermediate stage of the method shown in FIG.
  • FIG. 9 is a cross-sectional view showing an object to be processed in an intermediate stage of the implementation of the method shown in FIG.
  • FIG. 10 is a cross-sectional view showing an object to be processed in an intermediate stage of the method shown in FIG.
  • FIG. 11 is a cross-sectional view showing an object to be processed in an intermediate stage of the method shown in FIG.
  • FIG. 12 is a cross-sectional view showing an object to be processed in an intermediate stage of the method shown in FIG. FIG.
  • FIG. 13 is a cross-sectional view showing an object to be processed in an intermediate stage of the method shown in FIG.
  • FIG. 14 is a cross-sectional view showing an object to be processed in an intermediate stage of the method shown in FIG.
  • FIG. 15 is a cross-sectional view showing an object to be processed in an intermediate stage of the method shown in FIG.
  • FIG. 16 is a cross-sectional view showing an object to be processed for explaining a comparative example.
  • FIG. 17 summarizes the experimental results.
  • FIG. 1 is a flowchart showing an etching method according to an embodiment.
  • a method MT shown in FIG. 1 is a method of selectively etching a first region made of silicon oxide with respect to a second region made of silicon nitride by plasma treatment for an object to be processed.
  • FIG. 2 is a cross-sectional view illustrating a target object to which an etching method according to an embodiment is applied.
  • the object to be processed that is, the wafer W has a substrate SB, a first region R1, a second region R2, and an organic film OL that later constitutes a mask.
  • the wafer W is obtained during the manufacturing of the fin-type field effect transistor, and further includes a raised region RA, a silicon-containing antireflection film AL, and a resist mask RM.
  • the raised area RA is provided so as to rise from the substrate SB.
  • the raised area RA can constitute, for example, a gate area.
  • the second region R2 is made of silicon nitride (Si 3 N 4 ), and is provided on the surface of the raised region RA and the surface of the substrate SB. As shown in FIG. 2, the second region R2 extends so as to define a recess. In one example, the depth of the recess is about 150 nm and the width of the recess is about 20 nm.
  • the first region R1 is made of silicon oxide (SiO 2 ) and is provided on the second region R2. Specifically, the first region R1 is provided so as to fill a concave portion defined by the second region R2 and cover the second region R2.
  • the organic film OL is provided on the first region R1.
  • the organic film OL can be made of an organic material such as amorphous carbon.
  • the antireflection film AL is provided on the organic film OL.
  • the resist mask RM is provided on the antireflection film AL.
  • the resist mask RM provides an opening having a width wider than the width of the recess on the recess defined by the second region R2.
  • the width of the opening of the resist mask RM is, for example, 60 nm.
  • Such a pattern of the resist mask RM is formed by a photolithography technique.
  • FIG. 3 is a diagram schematically showing an example of a plasma processing apparatus that can be used to implement the method shown in FIG.
  • a plasma processing apparatus 10 shown in FIG. 3 is a capacitively coupled plasma etching apparatus, and includes a substantially cylindrical processing container 12.
  • the inner wall surface of the processing container 12 is made of anodized aluminum, for example.
  • the processing container 12 is grounded for safety.
  • a substantially cylindrical support portion 14 is provided on the bottom of the processing vessel 12.
  • the support part 14 is comprised from the insulating material, for example.
  • the support portion 14 extends in the vertical direction from the bottom of the processing container 12 in the processing container 12.
  • a mounting table PD is provided in the processing container 12. The mounting table PD is supported by the support unit 14.
  • the mounting table PD holds the wafer W on the upper surface thereof.
  • the mounting table PD includes a lower electrode LE and an electrostatic chuck ESC.
  • the lower electrode LE includes a first plate 18a and a second plate 18b.
  • the first plate 18a and the second plate 18b are made of a metal such as aluminum, for example, and have a substantially disk shape.
  • the second plate 18b is provided on the first plate 18a and is electrically connected to the first plate 18a.
  • An electrostatic chuck ESC is provided on the second plate 18b.
  • the electrostatic chuck ESC has a structure in which an electrode which is a conductive film is disposed between a pair of insulating layers or insulating sheets.
  • a DC power source 22 is electrically connected to the electrode of the electrostatic chuck ESC via a switch 23.
  • the electrostatic chuck ESC attracts the wafer W by an electrostatic force such as a Coulomb force generated by a DC voltage from the DC power supply 22. Thereby, the electrostatic chuck ESC can hold the wafer W.
  • a focus ring FR is disposed on the periphery of the second plate 18b so as to surround the edge of the wafer W and the electrostatic chuck ESC.
  • the focus ring FR is provided in order to improve the etching uniformity.
  • the focus ring FR is made of a material appropriately selected according to the material of the film to be etched, and can be made of, for example, quartz.
  • a coolant channel 24 is provided inside the second plate 18b.
  • the refrigerant flow path 24 constitutes a temperature adjustment mechanism.
  • Refrigerant is supplied to the refrigerant flow path 24 from a chiller unit provided outside the processing container 12 via a pipe 26a.
  • the refrigerant supplied to the refrigerant flow path 24 is returned to the chiller unit via the pipe 26b. In this way, the refrigerant is circulated between the refrigerant flow path 24 and the chiller unit.
  • the temperature of the wafer W supported by the electrostatic chuck ESC is controlled.
  • the plasma processing apparatus 10 is provided with a gas supply line 28.
  • the gas supply line 28 supplies the heat transfer gas from the heat transfer gas supply mechanism, for example, He gas, between the upper surface of the electrostatic chuck ESC and the back surface of the wafer W.
  • the plasma processing apparatus 10 includes an upper electrode 30.
  • the upper electrode 30 is disposed above the mounting table PD so as to face the mounting table PD.
  • the lower electrode LE and the upper electrode 30 are provided substantially parallel to each other.
  • a processing space S for performing plasma processing on the wafer W is provided between the upper electrode 30 and the lower electrode LE.
  • the upper electrode 30 is supported on the upper part of the processing container 12 through an insulating shielding member 32.
  • the upper electrode 30 may be configured such that the distance in the vertical direction from the upper surface of the mounting table PD, that is, the wafer mounting surface, is variable.
  • the upper electrode 30 can include an electrode plate 34 and an electrode support 36.
  • the electrode plate 34 faces the processing space S, and the electrode plate 34 is provided with a plurality of gas discharge holes 34a.
  • the electrode plate 34 is made of silicon.
  • the electrode support 36 supports the electrode plate 34 in a detachable manner and can be made of a conductive material such as aluminum.
  • the electrode support 36 may have a water cooling structure.
  • a gas diffusion chamber 36 a is provided inside the electrode support 36.
  • a plurality of gas flow holes 36b communicating with the gas discharge holes 34a extend downward from the gas diffusion chamber 36a.
  • the electrode support 36 is formed with a gas introduction port 36c that guides the processing gas to the gas diffusion chamber 36a, and a gas supply pipe 38 is connected to the gas introduction port 36c.
  • a gas source group 40 is connected to the gas supply pipe 38 via a valve group 42 and a flow rate controller group 44.
  • the gas source group 40 includes a plurality of gas sources.
  • the gas source group 40 includes one or more fluorocarbon gas sources, rare gas sources, nitrogen gas (N 2 gas) sources, hydrogen gas (H 2 gas) sources, and oxygen-containing gas sources.
  • the source of one or more fluorocarbon gases may include, in one example, a source of C 4 F 8 gas, a source of CF 4 gas, and a source of C 4 F 6 gas.
  • the source of noble gas can be any noble gas source such as He gas, Ne gas, Ar gas, Kr gas, Xe gas, and in one example is a source of Ar gas.
  • the source of the oxygen-containing gas may be a source of oxygen gas (O 2 gas) in one example.
  • the oxygen-containing gas may be any gas containing oxygen, for example, a carbon oxide gas such as CO gas or CO 2 gas.
  • the valve group 42 includes a plurality of valves
  • the flow rate controller group 44 includes a plurality of flow rate controllers such as a mass flow controller.
  • the plurality of gas sources of the gas source group 40 are connected to the gas supply pipe 38 via the corresponding valve of the valve group 42 and the corresponding flow rate controller of the flow rate controller group 44, respectively.
  • a deposition shield 46 is detachably provided along the inner wall of the processing container 12.
  • the deposition shield 46 is also provided on the outer periphery of the support portion 14.
  • the deposition shield 46 prevents the etching byproduct (depot) from adhering to the processing container 12 and can be configured by coating an aluminum material with ceramics such as Y 2 O 3 .
  • An exhaust plate 48 is provided on the bottom side of the processing container 12 and between the support 14 and the side wall of the processing container 12.
  • the exhaust plate 48 can be configured by, for example, coating an aluminum material with ceramics such as Y 2 O 3 .
  • An exhaust port 12 e is provided below the exhaust plate 48 and in the processing container 12.
  • An exhaust device 50 is connected to the exhaust port 12e via an exhaust pipe 52.
  • the exhaust device 50 has a vacuum pump such as a turbo molecular pump, and can depressurize the space in the processing container 12 to a desired degree of vacuum.
  • a loading / unloading port 12 g for the wafer W is provided on the side wall of the processing container 12, and the loading / unloading port 12 g can be opened and closed by a gate valve 54.
  • the plasma processing apparatus 10 further includes a first high frequency power supply 62 and a second high frequency power supply 64.
  • the first high-frequency power source 62 is a power source that generates high-frequency power for plasma generation, and generates high-frequency power having a frequency of 27 to 100 MHz, for example.
  • the first high frequency power supply 62 is connected to the upper electrode 30 via the matching unit 66.
  • the matching unit 66 is a circuit for matching the output impedance of the first high-frequency power source 62 with the input impedance on the load side (upper electrode 30 side). Note that the first high-frequency power source 62 may be connected to the lower electrode LE via the matching unit 66.
  • the second high-frequency power source 64 is a power source that generates high-frequency bias power for drawing ions into the wafer W.
  • the second high-frequency power source 64 generates high-frequency bias power having a frequency in the range of 400 kHz to 40 MHz.
  • the second high frequency power supply 64 is connected to the lower electrode LE via the matching unit 68.
  • the matching unit 68 is a circuit for matching the output impedance of the second high-frequency power source 64 with the input impedance on the load side (lower electrode LE side).
  • the first high-frequency power for plasma generation is supplied from the first high-frequency power source 62 to the upper electrode 30 provided on the upper portion of the target object in the processing container.
  • the second high-frequency power for ion attraction is supplied from the second high-frequency power supply 64 to the lower electrode LE provided at the lower portion of the object to be processed, and the first high-frequency power and the second high-frequency power are turned on.
  • the modulation which switches the period which becomes OFF alternately performs pulse wave-like high frequency electric power.
  • the above-described first high-frequency power is applied as a continuous wave (CW).
  • the first high-frequency power and the first power are supplied.
  • Two high frequency powers are turned on for the same period, and are modulated by being turned off for the same period to generate pulsed high frequency power.
  • the first high-frequency power and the second high-frequency power are modulated by the pulse signal and applied to the upper electrode 30 and the lower electrode LE, respectively.
  • a square wave or the like can be used as the shape of the pulse signal.
  • the repetition frequency of the pulse signal used for modulation is 5 kHz in this example, but the same effect can be obtained even when a repetition frequency of 0.1 kHz or more and 50 kHz or less is used.
  • the plasma processing apparatus 10 further includes a power source 70.
  • the power source 70 is connected to the upper electrode 30.
  • the power source 70 applies a voltage to the upper electrode 30 for drawing positive ions present in the processing space S into the electrode plate 34.
  • the power source 70 is a DC power source that generates a negative DC voltage.
  • the power source 70 may be an AC power source that generates an AC voltage having a relatively low frequency.
  • the voltage applied from the power source 70 to the upper electrode may be a voltage of ⁇ 150V or less. That is, the voltage applied to the upper electrode 30 by the power source 70 may be a negative voltage having an absolute value of 150 or more.
  • the plasma processing apparatus 10 may further include a control unit Cnt.
  • the control unit Cnt is a computer including a processor, a storage unit, an input device, a display device, and the like, and controls each unit of the plasma processing apparatus 10.
  • an operator can perform a command input operation and the like to manage the plasma processing apparatus 10 using the input device, and the operating status of the plasma processing apparatus 10 is visualized by the display device. Can be displayed.
  • the storage unit of the control unit Cnt has a control program for controlling various processes executed by the plasma processing apparatus 10 by the processor, and causes each part of the plasma processing apparatus 10 to execute processes according to processing conditions.
  • a program, that is, a processing recipe is stored.
  • FIGS. 2 and 4 to 16 executed in time series will be referred to as appropriate.
  • 4 to 15 are cross-sectional views showing the object to be processed in the middle of the implementation of the method MT
  • FIG. 16 is a diagram for explaining a comparative example.
  • FIG. 16 is a diagram for explaining a comparative example.
  • the wafer W shown in FIG. 2 is carried into the plasma processing apparatus 10, and the wafer W is placed on the mounting table PD and held by the mounting table PD.
  • step ST1 is then performed.
  • step ST1 the antireflection film AL is etched.
  • processing gas is supplied in processing container 12 from a gas source selected among a plurality of gas sources of gas source group 40.
  • This processing gas contains a fluorocarbon gas.
  • the fluorocarbon gas can include, for example, one or more of C 4 F 8 gas and CF 4 gas.
  • the processing gas may further include a rare gas, for example, Ar gas.
  • exhaust device 50 is operated and the pressure in processing container 12 is set as a predetermined pressure.
  • step ST1 the high frequency power from the first high frequency power supply 62 and the high frequency bias power from the second high frequency power supply 64 are supplied to the lower electrode LE.
  • Processing vessel pressure 10 mTorr (1.33 Pa) to 50 mTorr (6.65 Pa)
  • Process gas / C 4 F 8 gas 10 sccm to 30 sccm CF 4 gas: 150 sccm to 300 sccm
  • Ar gas 200 sccm to 500 sccm
  • High frequency power for plasma generation 300W to 1000W
  • High frequency bias power 200W to 500W
  • step ST1 plasma of the processing gas is generated, and the antireflection film AL is etched in the portion exposed from the opening of the resist mask RM by the active species of fluorocarbon.
  • the portion exposed from the opening of the resist mask RM is removed from the entire region of the antireflection film AL. That is, the pattern of the resist mask RM is transferred to the antireflection film AL, and a pattern providing an opening is formed in the antireflection film AL.
  • movement of each part of the plasma processing apparatus 10 mentioned above in process ST1 can be controlled by the control part Cnt.
  • processing gas is supplied in processing container 12 from a gas source selected among a plurality of gas sources of gas source group 40.
  • the processing gas can include hydrogen gas and nitrogen gas.
  • the processing gas used in step ST2 may be another gas, for example, a processing gas containing oxygen gas, as long as it can etch the organic film.
  • the exhaust apparatus 50 is operated and the pressure in the processing container 12 is set to a predetermined pressure. Further, in step ST2, the high frequency power from the first high frequency power supply 62 and the high frequency bias power from the second high frequency power supply 64 are supplied to the lower electrode LE.
  • Processing container pressure 50 mTorr (6.65 Pa) to 200 mTorr (26.6 Pa)
  • Process gas / N 2 gas 200 sccm to 400 sccm ⁇ H 2 gas: 200 sccm to 400 sccm
  • High frequency power for plasma generation 500W to 2000W
  • High frequency bias power 200W to 500W
  • step ST2 plasma of a processing gas is generated, and the organic film OL is etched in a portion exposed from the opening of the antireflection film AL.
  • the resist mask RM is also etched.
  • the resist mask RM is removed, and the portion exposed from the opening of the antireflection film AL is removed from the entire region of the organic film OL. That is, the pattern of the antireflection film AL is transferred to the organic film OL, the pattern that provides the opening MO is formed in the organic film OL, and the mask MK is generated from the organic film OL.
  • movement of each part of the plasma processing apparatus 10 mentioned above in process ST2 can be controlled by the control part Cnt.
  • step ST3 is performed after step ST2.
  • the first region R1 is etched until just before the second region R2 is exposed. That is, the first region R1 is etched until the first region R1 remains slightly on the second region R2.
  • process gas is supplied in process container 12 from a gas source selected among a plurality of gas sources of gas source group 40.
  • This processing gas contains a fluorocarbon gas.
  • the processing gas may further include a rare gas, for example, Ar gas.
  • the processing gas may further include oxygen gas.
  • the exhaust apparatus 50 is operated and the pressure in the processing container 12 is set to a predetermined pressure. Further, in step ST3, the high frequency power from the first high frequency power supply 62 and the high frequency bias power from the second high frequency power supply 64 are supplied to the lower electrode LE.
  • step ST3 plasma of the processing gas is generated, and the first region R1 is etched by the active species of fluorocarbon in the portion exposed from the opening of the mask MK.
  • the processing time of this step ST3 is set so that the first region R1 is left with a predetermined film thickness on the second region R2 at the end of the step ST3.
  • the upper opening UO is partially formed as shown in FIG.
  • operation of each part of the plasma processing apparatus 10 mentioned above in process ST3 can be controlled by the control part Cnt.
  • a mode in which the formation of a deposit containing fluorocarbon is more advantageous on the surface of the wafer W including the first region R1 than the etching of the first region R1, that is, the deposition mode is set.
  • a condition is selected.
  • the fluorocarbon gas to be utilized in step ST3 may include one or more of the C 4 F 8 gas and CF 4 gas.
  • the fluorocarbon gas in this example has a ratio of the number of fluorine atoms to the number of carbon atoms (that is, the number of fluorine atoms / the number of carbon atoms) (that is, the number of fluorine atoms / the number of carbon atoms) of the fluorocarbon gas used in step ST11 (that is, the number of carbon atoms). , Fluorine carbon number / carbon atom number).
  • the high frequency power for plasma generation used in step ST3 can be set to a higher power than the high frequency power for plasma generation used in step ST11. According to these examples, the etching mode can be realized.
  • the high-frequency bias power used in step ST3 can also be set to be higher than the high-frequency bias power in step ST11. According to this example, the energy of ions drawn into the wafer W is increased, and the first region R1 can be etched at a high speed.
  • Processing vessel pressure 10 mTorr (1.33 Pa) to 50 mTorr (6.65 Pa)
  • Process gas / C 4 F 8 gas 10 sccm to 30 sccm CF 4 gas: 50 sccm to 150 sccm
  • Ar gas 500 sccm to 1000 sccm ⁇ O 2 gas: 10 sccm to 30 sccm
  • High frequency power for plasma generation 500W to 2000W
  • High frequency bias power 500W to 2000W
  • step ST4 is then performed.
  • plasma of a processing gas containing an oxygen-containing gas is generated in the processing container 12.
  • process gas is supplied in process container 12 from a gas source selected among a plurality of gas sources of gas source group 40.
  • the processing gas may include oxygen gas as the oxygen-containing gas.
  • the processing gas may further include an inert gas such as a rare gas (for example, Ar gas) or nitrogen gas.
  • the exhaust apparatus 50 is operated and the pressure in the processing container 12 is set to a predetermined pressure.
  • high frequency power from the first high frequency power supply 62 is supplied to the lower electrode LE.
  • the high frequency bias power from the second high frequency power supply 64 may not be supplied to the lower electrode LE.
  • step ST4 active species of oxygen are generated, and the opening MO of the mask MK is widened at the upper end portion by the active species of oxygen. Specifically, as shown in FIG. 7, etching is performed so that the upper shoulder portion of the mask MK that defines the upper end portion of the opening MO has a tapered shape. Thereby, even if the deposit generated in the subsequent process adheres to the surface defining the opening MO of the mask MK, the reduction amount of the width of the opening MO can be reduced.
  • movement of each part of the plasma processing apparatus 10 mentioned above in process ST4 can be controlled by the control part Cnt.
  • step ST11 described later is also a step of reducing a small amount of deposit formed in each sequence, and it is necessary to suppress an excessive decrease in the deposit.
  • step ST4 the process is performed to increase the width of the upper end portion of the opening MO of the mask MK, and a short processing time is required.
  • Processing container pressure 30 mTorr (3.99 Pa) to 200 mTorr (26.6 Pa)
  • Processing gas / O 2 gas 50 sccm to 500 sccm
  • Ar gas 200 sccm to 1500 sccm
  • High frequency power for plasma generation 100W to 500W
  • High frequency bias power 0W to 200W
  • sequence SQ1 are executed, and then one or more sequences SQ2 are executed.
  • sequence SQ3 may be performed one or more times as needed.
  • the sequence SQ1, the sequence SQ2, and the sequence SQ3 are executed for etching the first region R1.
  • Each of sequence SQ1, sequence SQ2, and sequence SQ3 includes step ST11 and step ST12.
  • step ST11 is first executed as the first step.
  • plasma of a processing gas containing a fluorocarbon gas, an oxygen-containing gas, and an inert gas is generated in the processing container 12 in which the wafer W is accommodated.
  • process gas is supplied in process container 12 from a gas source selected among a plurality of gas sources of gas source group 40.
  • the processing gas includes a fluorocarbon gas, an oxygen-containing gas, and an inert gas.
  • the conditions for the deposition mode are selected as described above, and therefore, in one example, C 4 F 6 gas is used as the fluorocarbon gas.
  • the oxygen-containing gas includes, for example, oxygen gas
  • the inert gas includes a rare gas such as Ar gas.
  • the inert gas may be nitrogen gas.
  • the exhaust apparatus 50 is operated and the pressure in the processing container 12 is set to a predetermined pressure. Further, in step ST11, high frequency power from the first high frequency power supply 62 may be supplied to the lower electrode LE.
  • step ST11 plasma of a processing gas containing a fluorocarbon gas or a processing gas containing a fluorocarbon gas and an inert gas is generated, so that the dissociated fluorocarbon is deposited on the surface of the wafer W to form a deposit DP.
  • the operation of each part of the above-described plasma processing apparatus 10 in the step ST11 can be controlled by the control unit Cnt.
  • the active species of oxygen are generated overlapping the period in which the deposit DP is formed by the fluorocarbon, and the amount of the deposit DP on the wafer W is moderately reduced by the active species of oxygen (See FIGS. 9, 12, and 15). These states shown in FIGS. 8 and 9 occur simultaneously in step ST11. As a result, the opening MO and the upper opening UO are prevented from being blocked by the excessive deposit DP. Further, in the processing gas used in step ST11, since the oxygen gas is diluted with the inert gas, it is possible to suppress the deposit DP from being excessively removed.
  • the operation of each part of the above-described plasma processing apparatus 10 in the step ST11 can be controlled by the control unit Cnt.
  • Processing vessel pressure 10-50 mTorr Process gas / C 4 F 6 gas flow rate: 1 to 20 sccm Ar gas flow rate: 200 sccm to 1500 sccm ⁇ O 2 gas flow rate: 1 ⁇ 20sccm
  • High frequency power of the first high frequency power supply 62 40 MHz, 50 W to 500 W
  • High frequency power (bias power) of the second high frequency power supply 64 13 MHz, 0 W to 50 W DC voltage of power supply 70: 0V to -500V No modulation of high frequency power (CW (continuous wave))
  • step ST11 of each sequence that is, one step ST11 is executed for 2 seconds or more, for example, 2.5 seconds to 3 seconds.
  • the deposition time in the process ST11 was set to 3 seconds in the first sequence SQ1, and 2.5 seconds shorter than this in the second sequence SQ2.
  • the amount of increase in the thickness of the protective film on the silicon nitride can be appropriately controlled, and there is an effect of improving the detachability. If the etching rate of the deposit DP in such a long period is too high, the deposit for protecting the second region R2 may be excessively removed. For this reason, in step ST11, the deposit DP is etched at a rate of 1 nm / second or less.
  • the rate of etching of the deposit DP in the process ST11 of 1 nm / second or less is the pressure in the processing container, the degree of dilution of the oxygen in the processing gas with the rare gas, that is, the oxygen concentration, and the high frequency for plasma generation. Power can be achieved by selecting from the conditions described above.
  • the process ST11 when the fluorocarbon gas, the inert gas, and the oxygen gas are supplied within the overlapping period (same period), the process is compared with the case where the fluorocarbon gas and the oxygen gas are supplied in separate periods. There is no need to consider the stabilization time associated with gas switching and the discharge stabilization time.
  • the throughput is improved.
  • the molar ratio of the fluorocarbon gas to the oxygen gas in such a deposition process is preferably about 1: 0.25 to 1: 2, and in this case, the optimum deposit thickness for the specified power is set. The effect of improving the microloading and detachability can be obtained.
  • planar shape can be made into circular, a rectangle, a slit, and a long hole shape. Further, it was confirmed that the formed opening could be patterned as designed without being greatly distorted.
  • step ST12 is then executed.
  • step ST12 the first region R1 is etched.
  • process gas is supplied in process container 12 from a gas source selected among a plurality of gas sources of gas source group 40.
  • This processing gas contains an inert gas.
  • the inert gas may be a noble gas such as Ar gas.
  • the inert gas may be nitrogen gas.
  • the etching in step ST12 is performed with a processing gas that does not substantially contain oxygen. Note that substantially not containing oxygen means that oxygen is not intentionally introduced into the processing gas.
  • the exhaust apparatus 50 is operated and the pressure in the processing container 12 is set to a predetermined pressure.
  • step ST12 high frequency power from the first high frequency power supply 62 is supplied to the lower electrode LE.
  • the high frequency bias power from the second high frequency power supply 64 is supplied to the lower electrode LE.
  • Processing vessel pressure 10-50 mTorr Process gas / Ar gas: 200 sccm to 1500 sccm
  • High frequency power of the first high frequency power supply 62 40 MHz
  • High frequency power (bias power) of the second high frequency power supply 64 13 MHz
  • 25 W to 500 W DC voltage of power supply 70 0V to -500V
  • step ST12 plasma of an inert gas is generated and ions are drawn into the wafer W. Then, the first region R1 is etched by the fluorocarbon radicals contained in the deposit DP (see FIGS. 10, 13, and 15).
  • the operation of each part of the above-described plasma processing apparatus 10 in step ST12 can be controlled by the control unit Cnt.
  • step ST11 of sequence SQ1 deposit DP is formed on wafer W as shown in FIG. FIG. 8 shows a state in which the etching of the first region R1 proceeds, the second region R2 is exposed, and the deposit DP is formed on the second region R2. This deposit DP protects the second region R2.
  • step ST11 of sequence SQ1 as shown in FIG. 9, the amount of deposit DP formed in the same step ST11 is reduced.
  • step ST12 of sequence SQ1 the first region R1 is etched by radicals of fluorocarbon contained in the deposit DP.
  • the second region R2 is exposed and the first region R1 in the recess provided by the second region R2 is etched while the second region R2 is protected by the deposit DP. Thereby, as shown in FIG. 10, the lower opening LO is gradually formed.
  • step STa after the execution of step ST12, it is determined in step STa whether the stop condition is satisfied.
  • the stop condition is determined to be satisfied when the sequence SQ1 is executed a predetermined number of times.
  • step STa when it is determined that the stop condition is not satisfied, the sequence SQ1 is executed from step ST11.
  • sequence SQ2 is executed.
  • the high frequency bias power of the second high frequency power supply 64 can be lowered in the second sequence as compared with the first sequence SQ1.
  • the etching time in the second sequence SQ2 can be set longer than the etching time in the first sequence SQ1.
  • step ST11 of sequence SQ2 a deposit DP is formed on the wafer W as shown in FIG. Then, in step ST11 of sequence SQ2, as shown in FIG. 12, the amount of deposit DP formed in the same step ST11 is further reduced.
  • step ST12 of sequence SQ2 the first region R1 is etched by radicals of fluorocarbon contained in the deposit DP. By this sequence SQ2, the first region R1 in the recess provided by the second region R2 is further etched while the second region R2 is protected by the deposit DP. Thereby, as shown in FIG. 13, the depth of the lower opening LO is further increased.
  • the method includes a second step of selectively etching the first region made of silicon oxide with a fluorocarbon radical, so that the first region is etched and the second region made of silicon nitride is used. Scraping of the two regions is suppressed.
  • the deposit DP is formed by the plasma of the processing gas containing the fluorocarbon gas or the processing gas containing the fluorocarbon gas and the inert gas.
  • the amount of the deposit DP on the wafer W is moderately reduced by the active species of oxygen due to the active species of oxygen.
  • the formation of the deposit DP and the moderate reduction in the amount of the deposit DP are performed in the same process, and it is not necessary to switch the processing gas. Therefore, it is not necessary to consider the discharge stabilization time associated with the switching of the processing gas.
  • the etching in the second step is performed with a processing gas that does not substantially contain oxygen.
  • a processing gas that does not substantially contain oxygen In this method, selective etching of the first region with respect to the second region is efficiently performed.
  • the sequence SQ2 is repeated once or more, and is repeated 39 times in one example. Therefore, as shown in FIG. 1, after the execution of step ST12, it is determined in step STb whether the stop condition is satisfied.
  • the stop condition is determined to be satisfied when the sequence SQ2 is executed a predetermined number of times.
  • the sequence SQ2 is executed from the process ST11. On the other hand, when it is determined in step STb that the stop condition is satisfied, the execution of sequence SQ2 is then terminated.
  • the processing conditions of the sequence SQ1 are set so that the amount of etching of the first region R1 in each sequence SQ1 is smaller than the amount of etching of the first region R1 in each sequence SQ2.
  • the execution time length of each sequence SQ1 is set shorter than the execution time length of each sequence SQ2.
  • the ratio of the execution time length of the process ST11 and the execution time length of the process ST12 in the sequence SQ1 can be set similarly to the ratio of the execution time length of the process ST11 and the execution time length of the process ST13 in the sequence SQ2.
  • the execution time length of the process ST11 is selected from a time length in the range of 2 seconds to 5 seconds, and the execution time length of the process ST12 is selected from a time length in the range of 5 seconds to 10 seconds.
  • the execution time length of step ST11 is selected from a time length in the range of 2 seconds to 10 seconds, and the execution time length of step ST12 is selected from a time length in the range of 5 seconds to 20 seconds.
  • the active species of the fluorocarbon generated in the process ST11 is deposited on the second region R2 to protect the second region R2, but when the first region R1 is etched and the second region R2 is exposed, the second region R2 is exposed. Region R2 may be etched. Therefore, in the method MT, one or more sequences SQ1 are executed in the period in which the second region R2 is exposed. Thereby, the deposit DP is formed on the wafer W while the etching amount is suppressed, and the second region R2 is protected by the deposit DP. Thereafter, one or more sequences SQ2 with a large etching amount are executed. Therefore, according to the method MT, it is possible to etch the first region R1 while suppressing the scraping of the second region R2.
  • the scraping of the second region R2 can be suppressed even if the etching amount in each sequence SQ2 is increased.
  • the etching rate of the first region R1 in the method MT can be improved by increasing the etching amount of each sequence SQ2 more than the etching amount of each sequence SQ1.
  • the sequence SQ3 can be further executed as necessary after the execution of the sequence SQ2.
  • region R1 is etched by the radical of the fluorocarbon contained in deposit DP by Ar sputter
  • the first region R1 in the recess provided by the second region R2 is further etched while the second region R2 is protected by the deposit DP.
  • FIG. 16 is a diagram illustrating a state according to a comparative example corresponding to FIG. 15 and illustrates a case where pulse modulation is not performed in the second step (continuous wave (CW)).
  • CW continuous wave
  • the sputtered silicon oxide molecules E are reattached to the inner surface of the recess. Further, excessive etching occurs on the opening end face (shoulder portion) of the recess, and the shoulder portion is partially missing.
  • the high frequency power is applied in the form of pulse waves in the second step.
  • the sputtered silicon oxide can escape to the outside of the recess during the OFF period in which no pulse is applied, the reattachment of silicon oxide in the recess is suppressed, and etching can be performed with high accuracy. It becomes like this.
  • the pulse OFF period is present, excessive ion acceleration can be suppressed, and therefore, excessive etching on the opening end face (shoulder portion) of the recess can be suppressed.
  • Sequence SQ3 is repeated one or more times. Therefore, as shown in FIG. 1, after the execution of step ST12, it is determined in step STc whether or not the stop condition is satisfied.
  • the stop condition is determined to be satisfied when the sequence SQ3 is executed a predetermined number of times.
  • step STc when it is determined that the stop condition is not satisfied, the sequence SQ3 is executed from step ST11.
  • the execution of the method MT is finished. Note that the settings of all sequences may be the same.
  • the high frequency bias power may be set to be larger than the high frequency bias power used in step ST12 of sequence SQ1 and sequence SQ2.
  • the high frequency bias power is set to 20 W to 100 W
  • the high frequency bias power is set to power of 100 W to 300 W.
  • the execution time length of the step ST11 is selected from a time length in the range of 2 seconds to 10 seconds
  • the execution time length of the step ST12 is selected from a time length in the range of 5 seconds to 15 seconds. .
  • the amount of the deposit DP on the wafer W is considerably increased after the execution of the sequence SQ1 and the sequence SQ2.
  • the width of the opening MO, the upper opening UO, and the lower opening LO may be narrowed by the deposit DP.
  • a relatively large high-frequency bias power Is used the energy of ions attracted to the wafer W is increased, and even if the lower opening LO is deep, ions can be supplied to the deep part of the lower opening LO.
  • the first region R1 is made of silicon oxide (SiO 2 )
  • the second region R2 is made of silicon nitride (Si 3 N 4 ).
  • Various conditions such as the process vessel internal pressure in the process ST11 and the process ST12 are the same as the above-described conditions.
  • the deposit DP is formed on the first region R1 and the second region R2 by the plasma of the processing gas including the fluorocarbon gas and the oxygen gas.
  • the processing gas in step ST11 may contain a rare gas such as Ar gas.
  • the amount of the deposit DP on the wafer W is moderately reduced by the active species of oxygen due to the active species of oxygen further contained in the processing gas.
  • step ST12 is performed on the wafer.
  • the wafer W after the process ST11 is exposed to a rare gas plasma.
  • the rare gas includes, for example, Ar gas.
  • the first region R1 is etched by the fluorocarbon radicals contained in the deposit DP.
  • step ST12 active species of rare gas atoms, for example, ions of Ar gas atoms collide with the deposit DP.
  • the fluorocarbon radicals in the deposit DP advance the etching of the first region R1 and reduce the thickness of the first region R1.
  • the film thickness of the deposit DP decreases.
  • the second region R2 although the thickness of the deposit DP is reduced, the etching of the second region R2 is suppressed, so the amount of decrease in the thickness of the second region R2 is the thickness of the first region R1. Is significantly smaller than the amount of decrease.
  • the processing vessel internal pressure, the C 4 F 6 gas flow rate, the Ar flow rate, and the power of the first and second high-frequency power sources used for obtaining this data are 8 sccm and 1100 sccm within the above numerical range.
  • 100 W, 0 W, and the Ar gas flow rate in the second step ST12 is 1100 sccm in the above numerical range, and the range of various conditions produces the same effect even when these parameters are changed.
  • the range to obtain is shown.
  • the etching amount of the second region (silicon nitride) on the opening end face of the recess and the presence or absence of a defect in the recess are as follows.
  • omission defect represents the case where it can confirm that the deposit has adhered in the recessed part, when observing the inside of a recessed part with an electron microscope.
  • Experimental example 1 11.1 nm (good omission)
  • Experimental example 2 7.5 nm (missing defect)
  • Experimental example 3 6.8 nm (missing defect)
  • Experimental example 4 11.1 nm (good omission)
  • Experimental example 5 10.2 nm (good omission)
  • Experimental example 6 7.5 nm (missing defect)
  • -Experiment example 7 4.3 nm (missing defect)
  • Experimental Example 8 8.6 nm (good omission)
  • Experimental example 9 6.8 nm (good omission)
  • Experimental example 10 5.7 nm (defective omission)
  • Experimental example 11 3.9 nm (missing defect)
  • Experimental Example 13 7.7 nm (good omission)
  • Experimental example 14 6.4 nm (good omission)
  • Experimental example 15 4.5 nm (missing defect)
  • FIG. 17 is a diagram summarizing the experimental results.
  • the first region made of silicon oxide is selectively etched with respect to the second region made of silicon nitride by the plasma treatment for the object to be processed.
  • the object to be processed includes a second region that defines a recess, a first region that is provided to fill the recess and cover the second region, and a mask that is provided on the first region.
  • the mask provides an opening having a width larger than the width of the concave portion on the concave portion, and the method generates a plasma of a processing gas containing a fluorocarbon gas in a processing container containing the object to be processed.
  • a deposit containing fluorocarbon is formed on an object to be processed, and the first region is etched by radicals of fluorocarbon contained in the deposit.
  • the second step high-frequency power is applied in the form of a pulse wave, so that the sputtered silicon oxide can escape to the outside of the recess during the OFF period when no pulse is applied. Re-adhesion is suppressed and etching can be performed with high accuracy. Therefore, as shown in the above experimental results, the probability of occurrence of missing defects decreases as the duty ratio is lowered.
  • the plasma in the first step is a plasma of a processing gas containing a fluorocarbon gas, an oxygen-containing gas, and an inert gas.
  • the plasma of these gases can form a deposit on the first region and the second region, and the silicon oxide can be selectively etched by applying energy to the deposit.
  • the first high-frequency power for generating plasma is applied to the upper electrode provided on the upper part of the object to be processed in the processing container, and is provided on the lower part of the object to be processed.
  • a second high-frequency power for ion attraction to the lower electrode, and performing a modulation that alternately switches between a period in which the first high-frequency power and the second high-frequency power are turned on and a period in which the first high-frequency power is turned off, Is generated.
  • omission defects are reduced by pulse modulation.
  • the ratio (duty ratio) to the pulse period of the period in which the high frequency power in the form of pulse wave is ON is 10% or more and 70% or less.
  • the omission defect is reduced as compared with the case where the duty ratio exceeds 70%. That is, the amount of silicon nitride that is located on the opening end face (shoulder) of the recess can be suppressed.
  • the ratio (duty ratio) to the pulse period of the period in which the high frequency power in the form of pulse wave is ON is 50% or more and 60% or less. In this case, it has been found that the duty ratio is lost and the defect is further reduced.
  • DESCRIPTION OF SYMBOLS 10 Plasma processing apparatus, 12 ... Processing container, 30 ... Upper electrode, PD ... Mounting stage, LE ... Lower electrode, ESC ... Electrostatic chuck, 40 ... Gas source group, 42 ... Valve group, 44 ... Flow controller group, DESCRIPTION OF SYMBOLS 50 ... Exhaust device, 62 ... 1st high frequency power supply, 64 ... 2nd high frequency power supply, Cnt ... Control part, W ... Wafer, W1 ... Wafer, R1 ... 1st area

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

被処理体に対するプラズマ処理によって、酸化シリコンから構成された第1領域R1を窒化シリコンから構成された第2領域R2に対して選択的にエッチングする方法であって、被処理体は、凹部を画成する第2領域R2、該凹部を埋める第1領域R1、及び、第1領域R1上に設けられたマスクMKを有し、フルオロカーボンガスを含む処理ガスのプラズマを生成する第1工程と、堆積物に含まれるフルオロカーボンのラジカルによって第1領域をエッチングする第2工程を備え、第2工程では、プラズマの形成に寄与する高周波電力をパルス波状に印加し、これらの工程は繰り返される。

Description

エッチング方法
 本発明の実施形態は、エッチング方法に関するものである。
 電子デバイスの製造においては、酸化シリコン(SiO)から構成された領域に対してホール又はトレンチといった開口を形成する処理が行われることがある。このような処理では、特許文献1に記載されているように、一般的には、フルオロカーボンガスのプラズマに被処理体が晒されて、当該領域がエッチングされる。
 また、酸化シリコンから構成された第1領域を、窒化シリコンから構成された第2領域に対して選択的にエッチングする技術が知られている。このような技術の一例としては、SAC(Self-Aligned Contact)技術が知られている。SAC技術については、特許文献2に記載されている。
 SAC技術の処理対象である被処理体は、酸化シリコン製の第1領域、窒化シリコン製の第2領域、及びマスクを有している。第2領域は、凹部を画成するように設けられており、第1領域は、当該凹部を埋め、且つ、第2領域を覆うように設けられており、マスクは、第1領域上に設けられており、凹部の上に開口を提供している。従来のSAC技術では、特許文献2に記載されているように、第1領域のエッチングのために、フルオロカーボンガス、酸素ガス、及び希ガスを含む処理ガスのプラズマが用いられる。この処理ガスのプラズマに被処理体を晒すことにより、マスクの開口から露出した部分において第1領域がエッチングされて上部開口が形成される。さらに、処理ガスのプラズマに被処理体が晒されることにより、第2領域によって囲まれた部分、即ち凹部内の第1領域が自己整合的にエッチングされる。これにより、上部開口に連続する下部開口が自己整合的に形成される。
 なお、エッチング対象として有機膜を用いた技術(特許文献3)、エッチング対象をシリコンとした技術(特許文献4)、有機膜のパルスエッチング後にSiOをエッチングする技術(特許文献5)が知られている。
米国特許第7708859号明細書 特開2000-307001号公報 米国特許出願公開2014/0051256号明細書 米国特許出願公開2015/0348792号明細書 米国特許出願公開2015/0170965号明細書
 本願発明者らの知見によれば、上述した従来の技術では、酸化シリコンから構成された第1領域を選択エッチングする際に、凹部内に堆積物が再付着し、凹部内を高精度にエッチングすることができにくく、選択エッチングができる状態で、第1領域を高精度にエッチングすることが求められている。
 第1のエッチング方法においては、被処理体に対するプラズマ処理によって、酸化シリコンから構成された第1領域を窒化シリコンから構成された第2領域に対して選択的にエッチングする方法であって、前記被処理体は、凹部を画成する前記第2領域、該凹部を埋め、且つ前記第2領域を覆うように設けられた前記第1領域、及び、前記第1領域上に設けられたマスクを有し、該マスクは、前記凹部の上に該凹部の幅よりも広い幅を有する開口を提供し、該方法は、前記被処理体を収容した処理容器内においてフルオロカーボンガスを含む処理ガスのプラズマを生成する第1工程であり、前記被処理体上にフルオロカーボンを含む堆積物を形成する、該第1工程と、前記堆積物に含まれるフルオロカーボンのラジカルによって前記第1領域をエッチングする第2工程であり、前記プラズマの形成に寄与する高周波電力をパルス波状に印加する、該第2工程と、を含み、前記第1工程、及び前記第2工程を含むシーケンスが繰り返して実行される。
 この方法では、フルオロカーボンのラジカルによって、酸化シリコンから構成された第1領域を選択的にエッチングする第2工程を含むので、第1領域がエッチングされると共に、窒化シリコンから構成された第2領域の削れが抑制される。
 また、第1工程では、フルオロカーボンガス含む処理ガス、又はフルオロカーボンガス及び不活性ガスを含む処理ガスのプラズマにより、堆積物が形成される。また、酸素の活性種により、堆積物の量が、当該酸素の活性種によって適度に減少される。
 第2工程において、高周波電力をパルス波状に印加すると、パルスを印加していないOFF期間において、スパッタされた酸化シリコンが凹部の外側へ抜けることが可能となるため、凹部内における酸化シリコンの再付着が抑制され、高精度にエッチングができるようになる。また、パルスのOFF期間が存在することで、過剰なイオンの加速を抑制することができるため、凹部の開口端面における過剰なエッチングを抑制することも可能となる。
 第2のエッチング方法においては、前記第2工程の前記エッチングは、実質的に酸素を含まない処理ガスによって行われる。この方法では、第2領域に対する第1領域の選択的なエッチングが効率よく行われる。なお、実質的に酸素を含まないとは、意図的に処理ガス内に酸素を導入しないという意味である。
 第3エッチング方法においては、前記第1工程のプラズマは、フルオロカーボンガス、酸素含有ガス及び不活性ガスを含む処理ガスのプラズマである。これらのガスのプラズマは、第1領域及び第2領域上に堆積物を形成することができ、堆積物にエネルギーを与えることで、酸化シリコンを選択的にエッチングすることができる。
 第4のエッチング方法においては、前記第2工程において、前記処理容器内の前記被処理体の上部に設けられた上部電極にプラズマ生成用の第1高周波電力を与え、前記被処理体の下部に設けられた下部電極にイオン引き込み用の第2高周波電力を与え、前記第1高周波電力及び前記第2高周波電力がONとなる期間と、OFFとなる期間を交互に切り替える変調を行うことにより、前記パルス波状の高周波電力を生成する。この場合、イオンの過剰な加速を抑制するとともに、OFFとなる期間にエッチングによって生じた副生成物の排気を促進する効果がある。
 第5のエッチング方法においては、前記パルス波状の前記高周波電力のONとなる期間のパルス周期に対する比率(デューティ比)は、10%以上70%以下であることが好ましい。この場合、凹部の開口端面(肩部)に位置する窒化シリコンが削られる量を抑制することができる。
 第6のエッチング方法においては、前記パルス波状の前記高周波電力のONとなる期間のパルス周期に対する比率(デューティ比)は、50%以上60%以下であることが更に好ましい。この場合、凹部の開口端面(肩部)に位置する窒化シリコンが削られる量をさらに抑制することができる。
 このエッチング方法においては、第1領域を高精度に選択エッチングすることができる。
図1は一実施形態に係るエッチング方法を示す流れ図である。 図2は一実施形態に係るエッチング方法の適用対象である被処理体を例示する断面図である。 図3は図1に示す方法の実施に用いることが可能なプラズマ処理装置の一例を概略的に示す図である。 図4は図1に示す方法の実施の途中段階における被処理体を示す断面図である。 図5は図1に示す方法の実施の途中段階における被処理体を示す断面図である。 図6は図1に示す方法の実施の途中段階における被処理体を示す断面図である。 図7は図1に示す方法の実施の途中段階における被処理体を示す断面図である。 図8は図1に示す方法の実施の途中段階における被処理体を示す断面図である。 図9は図1に示す方法の実施の途中段階における被処理体を示す断面図である。 図10は図1に示す方法の実施の途中段階における被処理体を示す断面図である。 図11は図1に示す方法の実施の途中段階における被処理体を示す断面図である。 図12は図1に示す方法の実施の途中段階における被処理体を示す断面図である。 図13は図1に示す方法の実施の途中段階における被処理体を示す断面図である。 図14は図1に示す方法の実施の途中段階における被処理体を示す断面図である。 図15は図1に示す方法の実施の途中段階における被処理体を示す断面図である。 図16は比較例について説明するための被処理体を示す断面図である。 図17は実験結果を纏めた図である。
 以下、図面を参照して種々の実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
 図1は、一実施形態に係るエッチング方法を示す流れ図である。図1に示す方法MTは、被処理体に対するプラズマ処理によって、酸化シリコンから構成された第1領域を、窒化シリコンから構成された第2領域に対して選択的にエッチングする方法である。
 図2は、一実施形態に係るエッチング方法の適用対象である被処理体を例示する断面図である。図2に示すように、被処理体、即ちウエハWは、基板SB、第1領域R1、第2領域R2、及び、後にマスクを構成する有機膜OLを有している。一例では、ウエハWは、フィン型電界効果トランジスタの製造途中に得られるものであり、更に、隆起領域RA、シリコン含有の反射防止膜AL、及び、レジストマスクRMを有している。
 隆起領域RAは、基板SBから隆起するように設けられている。この隆起領域RAは、例えば、ゲート領域を構成し得る。第2領域R2は、窒化シリコン(Si)から構成されており、隆起領域RAの表面、及び、基板SBの表面上に設けられている。この第2領域R2は、図2に示すように、凹部を画成するように延在している。一例では、凹部の深さは、約150nmであり、凹部の幅は、約20nmである。
 第1領域R1は、酸化シリコン(SiO)から構成されており、第2領域R2上に設けられている。具体的に、第1領域R1は、第2領域R2によって画成される凹部を埋め、当該第2領域R2を覆うように設けられている。
 有機膜OLは、第1領域R1上に設けられている。有機膜OLは、有機材料、例えば、アモルファスカーボンから構成され得る。反射防止膜ALは、有機膜OL上に設けられている。レジストマスクRMは、反射防止膜AL上に設けられている。レジストマスクRMは、第2領域R2によって画成される凹部上に当該凹部の幅よりも広い幅を有する開口を提供している。レジストマスクRMの開口の幅は、例えば、60nmである。このようなレジストマスクRMのパターンは、フォトリソグラフィ技術により形成される。
 方法MTでは、図2に示すウエハWのような被処理体がプラズマ処理装置内において処理される。図3は、図1に示す方法の実施に用いることが可能なプラズマ処理装置の一例を概略的に示す図である。図3に示すプラズマ処理装置10は、容量結合型プラズマエッチング装置であり、略円筒状の処理容器12を備えている。処理容器12の内壁面は、例えば、陽極酸化処理されたアルミニウムから構成されている。この処理容器12は保安接地されている。
 処理容器12の底部上には、略円筒状の支持部14が設けられている。支持部14は、例えば、絶縁材料から構成されている。支持部14は、処理容器12内において、処理容器12の底部から鉛直方向に延在している。また、処理容器12内には、載置台PDが設けられている。載置台PDは、支持部14によって支持されている。
 載置台PDは、その上面においてウエハWを保持する。載置台PDは、下部電極LE及び静電チャックESCを有している。下部電極LEは、第1プレート18a及び第2プレート18bを含んでいる。第1プレート18a及び第2プレート18bは、例えばアルミニウムといった金属から構成されており、略円盤形状をなしている。第2プレート18bは、第1プレート18a上に設けられており、第1プレート18aに電気的に接続されている。
 第2プレート18b上には、静電チャックESCが設けられている。静電チャックESCは、導電膜である電極を一対の絶縁層又は絶縁シート間に配置した構造を有している。静電チャックESCの電極には、直流電源22がスイッチ23を介して電気的に接続されている。この静電チャックESCは、直流電源22からの直流電圧により生じたクーロン力等の静電力によりウエハWを吸着する。これにより、静電チャックESCは、ウエハWを保持することができる。
 第2プレート18bの周縁部上には、ウエハWのエッジ及び静電チャックESCを囲むようにフォーカスリングFRが配置されている。フォーカスリングFRは、エッチングの均一性を向上させるために設けられている。フォーカスリングFRは、エッチング対象の膜の材料によって適宜選択される材料から構成されており、例えば、石英から構成され得る。
 第2プレート18bの内部には、冷媒流路24が設けられている。冷媒流路24は、温調機構を構成している。冷媒流路24には、処理容器12の外部に設けられたチラーユニットから配管26aを介して冷媒が供給される。冷媒流路24に供給された冷媒は、配管26bを介してチラーユニットに戻される。このように、冷媒流路24とチラーユニットとの間では、冷媒が循環される。この冷媒の温度を制御することにより、静電チャックESCによって支持されたウエハWの温度が制御される。
 また、プラズマ処理装置10には、ガス供給ライン28が設けられている。ガス供給ライン28は、伝熱ガス供給機構からの伝熱ガス、例えばHeガスを、静電チャックESCの上面とウエハWの裏面との間に供給する。
 また、プラズマ処理装置10は、上部電極30を備えている。上部電極30は、載置台PDの上方において、当該載置台PDと対向配置されている。下部電極LEと上部電極30とは、互いに略平行に設けられている。上部電極30と下部電極LEとの間には、ウエハWにプラズマ処理を行うための処理空間Sが提供されている。
 上部電極30は、絶縁性遮蔽部材32を介して、処理容器12の上部に支持されている。一実施形態では、上部電極30は、載置台PDの上面、即ち、ウエハ載置面からの鉛直方向における距離が可変であるように構成され得る。上部電極30は、電極板34及び電極支持体36を含み得る。電極板34は処理空間Sに面しており、当該電極板34には複数のガス吐出孔34aが設けられている。この電極板34は、一実施形態では、シリコンから構成されている。
 電極支持体36は、電極板34を着脱自在に支持するものであり、例えばアルミニウムといった導電性材料から構成され得る。この電極支持体36は、水冷構造を有し得る。電極支持体36の内部には、ガス拡散室36aが設けられている。このガス拡散室36aからは、ガス吐出孔34aに連通する複数のガス通流孔36bが下方に延びている。また、電極支持体36には、ガス拡散室36aに処理ガスを導くガス導入口36cが形成されており、このガス導入口36cには、ガス供給管38が接続されている。
 ガス供給管38には、バルブ群42及び流量制御器群44を介して、ガスソース群40が接続されている。ガスソース群40は、複数のガスソースを含んでいる。一例では、ガスソース群40は、一以上のフルオロカーボンガスのソース、希ガスのソース、窒素ガス(Nガス)のソース、水素ガス(Hガス)のソース、及び、酸素含有ガスのソースを含んでいる。一以上のフルオロカーボンガスのソースは、一例では、Cガスのソース、CFガスのソース、及び、Cガスのソースを含み得る。希ガスのソースは、Heガス、Neガス、Arガス、Krガス、Xeガスといった任意の希ガスのソースであることができ、一例では、Arガスのソースである。また、酸素含有ガスのソースは、一例では、酸素ガス(Oガス)のソースであり得る。なお、酸素含有ガスは、酸素を含有する任意のガスであってもよく、例えば、COガス又はCOガスといった酸化炭素ガスであってもよい。
 バルブ群42は複数のバルブを含んでおり、流量制御器群44はマスフローコントローラといった複数の流量制御器を含んでいる。ガスソース群40の複数のガスソースはそれぞれ、バルブ群42の対応のバルブ及び流量制御器群44の対応の流量制御器を介して、ガス供給管38に接続されている。
 また、プラズマ処理装置10では、処理容器12の内壁に沿ってデポシールド46が着脱自在に設けられている。デポシールド46は、支持部14の外周にも設けられている。デポシールド46は、処理容器12にエッチング副生物(デポ)が付着することを防止するものであり、アルミニウム材にY等のセラミックスを被覆することにより構成され得る。
 処理容器12の底部側、且つ、支持部14と処理容器12の側壁との間には排気プレート48が設けられている。排気プレート48は、例えば、アルミニウム材にY等のセラミックスを被覆することにより構成され得る。この排気プレート48の下方、且つ、処理容器12には、排気口12eが設けられている。排気口12eには、排気管52を介して排気装置50が接続されている。排気装置50は、ターボ分子ポンプなどの真空ポンプを有しており、処理容器12内の空間を所望の真空度まで減圧することができる。また、処理容器12の側壁にはウエハWの搬入出口12gが設けられており、この搬入出口12gはゲートバルブ54により開閉可能となっている。
 また、プラズマ処理装置10は、第1の高周波電源62及び第2の高周波電源64を更に備えている。第1の高周波電源62は、プラズマ生成用の高周波電力を発生する電源であり、例えば、27~100MHzの周波数の高周波電力を発生する。第1の高周波電源62は、整合器66を介して上部電極30に接続されている。整合器66は、第1の高周波電源62の出力インピーダンスと負荷側(上部電極30側)の入力インピーダンスを整合させるための回路である。なお、第1の高周波電源62は、整合器66を介して下部電極LEに接続されていてもよい。
 第2の高周波電源64は、ウエハWにイオンを引き込むための高周波バイアス電力を発生する電源であり、例えば、400kHz~40MHzの範囲内の周波数の高周波バイアス電力を発生する。第2の高周波電源64は、整合器68を介して下部電極LEに接続されている。整合器68は、第2の高周波電源64の出力インピーダンスと負荷側(下部電極LE側)の入力インピーダンスを整合させるための回路である。
 なお、第2工程(Arプラズマエッチング)におけるエッチング処理においては、処理容器内の被処理体の上部に設けられた上部電極30に、第1の高周波電源62から、プラズマ生成用の第1高周波電力を与え、被処理体の下部に設けられた下部電極LEに、第2の高周波電源64から、イオン引き込み用の第2高周波電力を与え、第1高周波電力及び第2高周波電力がONとなる期間と、OFFとなる期間を交互に切り替える変調を行うことにより、パルス波状の高周波電力を生成する。
 すなわち、第1工程(堆積物の形成)におけるプラズマ処理においては、上述の第1高周波電力が、連続波(CW)として与えられるが、第2工程のエッチング処理においては、第1高周波電力及び第2高周波電力を同じ期間の間、ON状態とし、同じ期間の間、OFF状態することで変調を行い、パルス波状の高周波電力を生成している。換言すれば、第1高周波電力と第2高周波電力を、パルス信号で変調して、上部電極30及び下部電極LEにそれぞれ与えている。パルス信号の形状としては、方形波などを用いることができる。変調に用いるパルス信号の繰り返し周波数は、本例では、5kHzであるが、0.1kHz以上、50kHz以下の繰り返し周波数を用いても、同様の効果を奏することができる。
 また、プラズマ処理装置10は、電源70を更に備えている。電源70は、上部電極30に接続されている。電源70は、処理空間S内に存在する正イオンを電極板34に引き込むための電圧を、上部電極30に印加する。一例においては、電源70は、負の直流電圧を発生する直流電源である。別の一例において、電源70は、比較的低周波の交流電圧を発生する交流電源であってもよい。電源70から上部電極に印加される電圧は、-150V以下の電圧であり得る。即ち、電源70によって上部電極30に印加される電圧は、絶対値が150以上の負の電圧であり得る。このような電圧が電源70から上部電極30に印加されると、処理空間Sに存在する正イオンが、電極板34に衝突する。これにより、電極板34から二次電子及び/又はシリコンが放出される。放出されたシリコンは、処理空間S内に存在するフッ素の活性種と結合し、フッ素の活性種の量を低減させる。
 また、一実施形態においては、プラズマ処理装置10は、制御部Cntを更に備え得る。この制御部Cntは、プロセッサ、記憶部、入力装置、表示装置等を備えるコンピュータであり、プラズマ処理装置10の各部を制御する。この制御部Cntでは、入力装置を用いて、オペレータがプラズマ処理装置10を管理するためにコマンドの入力操作等を行うことができ、また、表示装置により、プラズマ処理装置10の稼働状況を可視化して表示することができる。さらに、制御部Cntの記憶部には、プラズマ処理装置10で実行される各種処理をプロセッサにより制御するための制御プログラムや、処理条件に応じてプラズマ処理装置10の各部に処理を実行させるためのプログラム、即ち、処理レシピが格納される。
 以下、再び図1を参照して、方法MTについて詳細に説明する。以下の説明では、時系列に実行される図2、図4~図16を適宜参照する。図4~図15は、方法MTの実施の途中段階における被処理体を示す断面図であり、図16は比較例について説明する図である。なお、以下の説明では、方法MTにおいて図2に示すウエハWが図3に示す一つのプラズマ処理装置10を用いて処理される例について説明する。
 まず、方法MTでは、プラズマ処理装置10内に図2に示すウエハWが搬入され、当該ウエハWが載置台PD上に載置されて、当該載置台PDによって保持される。
 方法MTでは、次いで、工程ST1が実行される。工程ST1では、反射防止膜ALがエッチングされる。このため、工程ST1では、ガスソース群40の複数のガスソースのうち選択されたガスソースから処理容器12内に処理ガスが供給される。この処理ガスは、フルオロカーボンガスを含む。フルオロカーボンガスは、例えば、Cガス及びCFガスのうち一種以上を含み得る。また、この処理ガスは、希ガス、例えば、Arガスを更に含み得る。また、工程ST1では、排気装置50が作動され、処理容器12内の圧力が所定の圧力に設定される。さらに、工程ST1では、第1の高周波電源62からの高周波電力、及び、第2の高周波電源64からの高周波バイアス電力が下部電極LEに対して供給される。
 以下に、工程ST1における各種条件を例示する。
処理容器内圧力:10mTorr(1.33Pa)~50mTorr(6.65Pa)
処理ガス
・Cガス:10sccm~30sccm
・CFガス:150sccm~300sccm
・Arガス:200sccm~500sccm
プラズマ生成用の高周波電力:300W~1000W
高周波バイアス電力:200W~500W
 工程ST1では、処理ガスのプラズマが生成され、フルオロカーボンの活性種によって、レジストマスクRMの開口から露出されている部分において反射防止膜ALがエッチングされる。その結果、図4に示すように、反射防止膜ALの全領域のうち、レジストマスクRMの開口から露出されている部分が除去される。即ち、反射防止膜ALにレジストマスクRMのパターンが転写され、反射防止膜ALに開口を提供するパターンが形成される。なお、工程ST1における上述したプラズマ処理装置10の各部の動作は制御部Cntによって制御され得る。
 続く工程ST2では、有機膜OLがエッチングされる。このため、工程ST2では、ガスソース群40の複数のガスソースのうち選択されたガスソースから処理容器12内に処理ガスが供給される。この処理ガスは、水素ガス及び窒素ガスを含み得る。なお、工程ST2において用いられる処理ガスは、有機膜をエッチングし得るものであれば、他のガス、例えば、酸素ガスを含む処理ガスであってもよい。また、工程ST2では、排気装置50が作動され、処理容器12内の圧力が所定の圧力に設定される。さらに、工程ST2では、第1の高周波電源62からの高周波電力、及び、第2の高周波電源64からの高周波バイアス電力が下部電極LEに対して供給される。
 以下に、工程ST2における各種条件を例示する。
処理容器内圧力:50mTorr(6.65Pa)~200mTorr(26.6Pa)
処理ガス
・Nガス:200sccm~400sccm
・Hガス:200sccm~400sccm
プラズマ生成用の高周波電力:500W~2000W
高周波バイアス電力:200W~500W
 工程ST2では、処理ガスのプラズマが生成され、反射防止膜ALの開口から露出されている部分において有機膜OLがエッチングされる。また、レジストマスクRMもエッチングされる。その結果、図5に示すように、レジストマスクRMが除去され、有機膜OLの全領域のうち、反射防止膜ALの開口から露出されている部分が除去される。即ち、有機膜OLに反射防止膜ALのパターンが転写され、有機膜OLに開口MOを提供するパターンが形成され、当該有機膜OLからマスクMKが生成される。なお、工程ST2における上述したプラズマ処理装置10の各部の動作は制御部Cntによって制御され得る。
 一実施形態においては、工程ST2の実行後に工程ST3が実行される。工程ST3では、第1領域R1が、第2領域R2が露出する直前までエッチングされる。即ち、第2領域R2上に第1領域R1が僅かに残されるまで、当該第1領域R1がエッチングされる。このため、工程ST3では、ガスソース群40の複数のガスソースのうち選択されたガスソースから処理容器12内に処理ガスが供給される。この処理ガスは、フルオロカーボンガスを含む。また、この処理ガスは、希ガス、例えば、Arガスを更に含み得る。また、この処理ガスは、酸素ガスを更に含み得る。また、工程ST3では、排気装置50が作動され、処理容器12内の圧力が所定の圧力に設定される。さらに、工程ST3では、第1の高周波電源62からの高周波電力、及び、第2の高周波電源64からの高周波バイアス電力が下部電極LEに対して供給される。
 工程ST3では、処理ガスのプラズマが生成され、マスクMKの開口から露出されている部分において第1領域R1が、フルオロカーボンの活性種によってエッチングされる。この工程ST3の処理時間は、当該工程ST3の終了時に、第2領域R2上に第1領域R1が所定の膜厚で残されるように、設定される。この工程ST3の実行の結果、図6に示すように、上部開口UOが部分的に形成される。なお、工程ST3における上述したプラズマ処理装置10の各部の動作は制御部Cntによって制御され得る。
 ここで、後述する工程ST11では、第1領域R1のエッチングよりも、第1領域R1を含むウエハWの表面上へのフルオロカーボンを含む堆積物の形成が優位となるモード、即ち、堆積モードとなる条件が選択される。一方、工程ST3では、堆積物の形成よりも第1領域R1のエッチングが優位となるモード、即ち、エッチングモードとなる条件が選択される。このため、一例では、工程ST3において利用されるフルオロカーボンガスは、Cガス及びCFガスのうち一種以上を含み得る。この例のフルオロカーボンガスは、工程ST11において利用されるフルオロカーボンガスの炭素原子数に対するフッ素原子数の比(即ち、フッ素原子数/炭素原子数)よりも、炭素原子数に対するフッ素原子数の比(即ち、フッ素原子数/炭素原子数)が高いフルオロカーボンガスである。また、一例では、フルオロカーボンガスの解離度を高めるために、工程ST3において利用されるプラズマ生成用の高周波電力は、工程ST11において利用されるプラズマ生成用の高周波電力よりも大きい電力に設定され得る。これら例によれば、エッチングモードを実現することが可能となる。また、一例では、工程ST3において利用される高周波バイアス電力も、工程ST11の高周波バイアス電力よりも大きい電力に設定され得る。この例によれば、ウエハWに対して引き込まれるイオンのエネルギーが高められ、第1領域R1を高速にエッチングすることが可能となる。
 以下に、工程ST3における各種条件を例示する。
処理容器内圧力:10mTorr(1.33Pa)~50mTorr(6.65Pa)
処理ガス
・C8ガス:10sccm~30sccm
・CFガス:50sccm~150sccm
・Arガス:500sccm~1000sccm
・Oガス:10sccm~30sccm
プラズマ生成用の高周波電力:500W~2000W
高周波バイアス電力:500W~2000W
 一実施形態では、次いで、工程ST4が実行される。工程ST4では、処理容器12内において酸素含有ガスを含む処理ガスのプラズマが生成される。このため、工程ST4では、ガスソース群40の複数のガスソースのうち選択されたガスソースから処理容器12内に処理ガスが供給される。この処理ガスは、一例では、酸素含有ガスとして、酸素ガスを含み得る。また、処理ガスは、希ガス(例えば、Arガス)又は窒素ガスといった不活性ガスを更に含み得る。また、工程ST4では、排気装置50が作動され、処理容器12内の圧力が所定の圧力に設定される。さらに、工程ST4では、第1の高周波電源62からの高周波電力が下部電極LEに対して供給される。なお、工程ST4では、第2の高周波電源64からの高周波バイアス電力が下部電極LEに供給されなくてもよい。
 工程ST4では、酸素の活性種が生成され、当該酸素の活性種によってマスクMKの開口MOがその上端部分において広げられる。具体的には、図7に示すように、開口MOの上端部分を画成するマスクMKの上側肩部がテーパ形状を呈するように、エッチングされる。これにより、以後の工程で生成される堆積物がマスクMKの開口MOを画成する面に付着しても、当該開口MOの幅の縮小量を低減させることができる。なお、工程ST4における上述したプラズマ処理装置10の各部の動作は制御部Cntによって制御され得る。
 ここで、後述する工程ST11は、各シーケンスにおいて形成される微量の堆積物を減少させる工程でもあり、堆積物の過剰な減少を抑制する必要がある。一方、工程ST4では、マスクMKの開口MOの上端部分の幅を広げるために実行されるものであり、その処理時間の短さが要求される。
 以下に、工程ST4における各種条件を例示する。
処理容器内圧力:30mTorr(3.99Pa)~200mTorr(26.6Pa)
処理ガス
・Oガス:50sccm~500sccm
・Arガス:200sccm~1500sccm
プラズマ生成用の高周波電力:100W~500W
高周波バイアス電力:0W~200W
 次いで、方法MTでは、一回以上のシーケンスSQ1が実行され、しかる後に、一回以上のシーケンスSQ2が実行される。また、一実施形態では、一回以上のシーケンスSQ2の実行の後に、必要に応じて、一回以上のシーケンスSQ3を実行することもできる。これらシーケンスSQ1、シーケンスSQ2、及び、シーケンスSQ3は、第1領域R1をエッチングするために実行されるものである。シーケンスSQ1、シーケンスSQ2、及び、シーケンスSQ3の各々は、工程ST11及び工程ST12を含んでいる。以下、シーケンスSQ1、シーケンスSQ2、及び、シーケンスSQ3の全てに共通する工程ST1及び工程ST2の詳細について説明し、次いで、シーケンスSQ1、シーケンスSQ2、及び、シーケンスSQ3の相違について説明する。
 各シーケンスでは、まず、第1工程として、工程ST11が実行される。工程ST11では、ウエハWが収容された処理容器12内で、フルオロカーボンガス、酸素含有ガス及び不活性ガスを含む処理ガスのプラズマが生成される。このため、工程ST11では、ガスソース群40の複数のガスソースのうち選択されたガスソースから処理容器12内に処理ガスが供給される。この処理ガスは、フルオロカーボンガス、酸素含有ガス及び不活性ガスを含む。工程ST11では、上述したように堆積モードとなる条件が選択されるので、一例では、フルオロカーボンガスとして、Cガスが利用される。酸素含有ガスは、例えば、酸素ガスを含み、不活性ガスは、Arガスといった希ガスを含む。不活性ガスは、窒素ガスであってもよい。また、工程ST11では、排気装置50が作動され、処理容器12内の圧力が所定の圧力に設定される。さらに、工程ST11では、第1の高周波電源62からの高周波電力が下部電極LEに対して供給されてもよい。
 工程ST11では、フルオロカーボンガス含む処理ガス、又はフルオロカーボンガス及び不活性ガスを含む処理ガスのプラズマが生成されるので、解離したフルオロカーボンが、ウエハWの表面上に堆積して、堆積物DPを形成する(図8、図11、及び図14を参照)。かかる工程ST11における上述したプラズマ処理装置10の各部の動作は制御部Cntによって制御され得る。
 工程ST11では、フルオロカーボンによって堆積物DPが形成される期間に重複して、酸素の活性種が生成され、ウエハW上の堆積物DPの量が、当該酸素の活性種によって適度に減少される(図9、図12、及び図15を参照)。これらの図8及び図9に示す状態が工程ST11において同時に生じる。その結果、過剰な堆積物DPによって開口MO及び上部開口UOが閉塞されることが防止される。また、工程ST11で利用される処理ガスでは、酸素ガスが不活性ガスによって希釈されているので、堆積物DPが過剰に除去されることを抑制することができる。かかる工程ST11における上述したプラズマ処理装置10の各部の動作は制御部Cntによって制御され得る。
 以下に、工程ST11における各種条件を例示する。
処理容器内圧力:10~50mTorr
処理ガス
・Cガス流量:1~20sccm
・Arガス流量:200sccm~1500sccm
・Oガス流量:1~20sccm
第1の高周波電源62の高周波電力:40MHz、50W~500W
第2の高周波電源64の高周波電力(バイアス電力):13MHz、0W~50W
電源70の直流電圧:0V~-500V
高周波電力の変調は無し(CW(連続波))
 一実施形態では、各シーケンスの工程ST11、即ち一回の工程ST11は2秒以上、例えば、2.5秒~3秒間実行される。工程ST11による堆積時間は、第1シーケンスSQ1では3秒、第2シーケンスSQ2では、これよりも短い2.5秒に設定した。これにより、窒化シリコン上の保護膜の膜厚増加量を適切に制御することができ、抜け性を改善するという効果がある。このような時間長の期間における堆積物DPのエッチングのレートが高すぎると、第2領域R2を保護するための堆積物が過剰に除去され得る。このため、工程ST11においては、1nm/秒以下のレートで堆積物DPがエッチングされる。これにより、ウエハW上に形成されている堆積物DPの量を適度に調整することが可能となる。なお、工程ST11における堆積物DPのエッチングの1nm/秒以下のレートは、処理容器内の圧力、処理ガス中の酸素の希ガスによる希釈の度合い、即ち、酸素濃度、及び、プラズマ生成用の高周波電力を、上述した条件から選択することによって達成され得る。なお、工程ST11において、フルオロカーボンガス、不活性ガス、酸素ガスを重複期間内(同一期間)内に供給する場合、フロオロカーボンガスと酸素ガスを別々の期間に供給する場合と比較して、処理ガスの切り換えに伴う安定時間、及び放電の安定時間を考慮する必要がない。つまり、処理ガスの交換に伴う安定時間、放電の安定時間が不要となり、スループットが改善される効果がある。また、このような堆積工程におけるフルオロカーボンガスと酸素ガスのモル比は、1:0.25から1:2程度であることが好ましく、この場合には、指定電力に対する最適な堆積物の厚さを形成し、マイクロローディングの改善や抜け性の改善効果が得られる。また、堆積物を用いて開口形状を形成する場合、平面形状は、円形、長方形、スリット、長穴形状とすることができる。また、形成した開口は、大きく歪んだりすることなく、設計通りにパターニングできることが確認できた。
 各シーケンスでは、次いで、工程ST12が実行される。工程ST12では、第1領域R1がエッチングされる。このため、工程ST12では、ガスソース群40の複数のガスソースのうち選択されたガスソースから処理容器12内に処理ガスが供給される。この処理ガスは、不活性ガスを含む。不活性ガスは、一例では、Arガスといった希ガスであり得る。或いは、不活性ガスは、窒素ガスであってもよい。工程ST12のエッチングは、実質的に酸素を含まない処理ガスによって行われる。なお、実質的に酸素を含まないとは、意図的に処理ガス内に酸素を導入しないという意味である。また、工程ST12では、排気装置50が作動され、処理容器12内の圧力が所定の圧力に設定される。さらに、工程ST12では、第1の高周波電源62からの高周波電力が下部電極LEに対して供給される。また、工程ST12では、第2の高周波電源64からの高周波バイアス電力が下部電極LEに供給される。
 以下に、工程ST12における各種条件を例示する。
処理容器内圧力:10~50mTorr
処理ガス
・Arガス:200sccm~1500sccm
第1の高周波電源62の高周波電力:40MHz、50W~500W
第2の高周波電源64の高周波電力(バイアス電力):13MHz、25W~500W
電源70の直流電圧:0V~-500V
 工程ST12では、不活性ガスのプラズマが生成され、イオンがウエハWに対して引き込まれる。そして、堆積物DPに含まれるフルオロカーボンのラジカルによって第1領域R1がエッチングされる(図10、図13、及び図15を参照)。かかる工程ST12における上述したプラズマ処理装置10の各部の動作は制御部Cntによって制御され得る。
 方法MTでは、シーケンスSQ1は、第2領域R2が露出するときを含む期間において実行される。シーケンスSQ1の工程ST11では、図8に示すように、ウエハW上に堆積物DPが形成される。なお、図8には、第1領域R1のエッチングが進行して、第2領域R2が露出し、当該第2領域R2上に堆積物DPが形成されている状態が示されている。この堆積物DPは、第2領域R2を保護する。そして、シーケンスSQ1の工程ST11では、図9に示すように、同じ工程ST11で形成された堆積物DPの量が減少される。そして、シーケンスSQ1の工程ST12では、堆積物DPに含まれるフルオロカーボンのラジカルによって第1領域R1がエッチングされる。このシーケンスSQ1により、第2領域R2が露出され、第2領域R2が堆積物DPによって保護されつつ、第2領域R2によって提供される凹部内の第1領域R1がエッチングされる。これにより、図10に示すように、下部開口LOが徐々に形成される。
 シーケンスSQ1は、一回以上繰り返され、一例では、39回繰り返される。したがって、図1に示すように、工程ST12の実行の後、工程STaにおいて、停止条件が満たされるか否かが判定される。停止条件はシーケンスSQ1が所定回数実行されている場合に満たされるものと判定される。工程STaにおいて、停止条件が満たされないと判定される場合には、工程ST11からシーケンスSQ1が実行される。一方、工程STaにおいて、停止条件が満たされると判定される場合には、次いで、シーケンスSQ2が実行される。また、第2の高周波電源64の高周波バイアス電力は、第1シーケンスSQ1よりも、第2シーケンスにおいて低下させることもできる。第1シーケンスSQ1におけるエッチング時間よりも、第2シーケンスSQ2におけるエッチング時間は、長く設定することができる。これにより、抜け性を維持した状態で、窒化シリコンの削れを抑制する効果がある。
 シーケンスSQ2の工程ST11では、図11に示すように、ウエハW上に堆積物DPが形成される。そして、シーケンスSQ2の工程ST11では、図12に示すように、更に、同じ工程ST11で形成された堆積物DPの量が減少される。そして、シーケンスSQ2の工程ST12では、堆積物DPに含まれるフルオロカーボンのラジカルによって第1領域R1がエッチングされる。このシーケンスSQ2により、第2領域R2が堆積物DPによって保護されつつ、第2領域R2によって提供される凹部内の第1領域R1が更にエッチングされる。これにより、図13に示すように、下部開口LOの深さが更に深くなる。
 一実施形態の方法では、フルオロカーボンのラジカルによって、酸化シリコンから構成された第1領域を選択的にエッチングする第2工程を含むので、第1領域がエッチングされると共に、窒化シリコンから構成された第2領域の削れが抑制される。また、工程ST11では、フルオロカーボンガス含む処理ガス、又はフルオロカーボンガス及び不活性ガスを含む処理ガスのプラズマにより、堆積物DPが形成される。また、これと共に、同工程において、酸素の活性種により、ウエハW上の堆積物DPの量が、当該酸素の活性種によって適度に減少される。堆積物DPの形成と堆積物DP量の適度な減少とが、同じ工程で行われ、処理ガスの切り換えを要さないので、処理ガスの切り換えに伴う放電の安定時間を考慮する必要がない。
 また、一実施形態の方法では、第2工程のエッチングは、実質的に酸素を含まない処理ガスによって行われる。この方法では、第2領域に対する第1領域の選択的なエッチングが効率よく行われる。
 シーケンスSQ2は、一回以上繰り返され、一例では、39回繰り返される。したがって、図1に示すように、工程ST12の実行の後、工程STbにおいて、停止条件が満たされるか否かが判定される。停止条件はシーケンスSQ2が所定回数実行されている場合に満たされるものと判定される。工程STbにおいて、停止条件が満たされないと判定される場合には、工程ST11からシーケンスSQ2が実行される。一方、工程STbにおいて、停止条件が満たされると判定される場合には、次いで、シーケンスSQ2の実行が終了する。
 方法MTでは、各回のシーケンスSQ1において第1領域R1がエッチングされる量が、各回のシーケンスSQ2において第1領域R1がエッチングされる量よりも少なくなるように、シーケンスSQ1の処理条件が設定される。一例においては、各回のシーケンスSQ1の実行時間長が、各回のシーケンスSQ2の実行時間長よりも短く設定される。この例では、シーケンスSQ1における工程ST11の実行時間長及び工程ST12の実行時間長の比は、シーケンスSQ2における工程ST11の実行時間長及び工程ST13の実行時間長の比と同様に設定され得る。例えば、シーケンスSQ1では、工程ST11の実行時間長は2秒~5秒の範囲の時間長から選択され、工程ST12の実行時間長は5秒~10秒の範囲の時間長から選択される。また、シーケンスSQ2では、工程ST11の実行時間長は2秒~10秒の範囲の時間長から選択され、工程ST12の実行時間長は5秒~20秒の範囲の時間長から選択される。
 工程ST11で生成されるフルオロカーボンの活性種は、第2領域R2上に堆積して当該第2領域R2を保護するが、第1領域R1がエッチングされて第2領域R2が露出したときには、第2領域R2をエッチングし得る。そこで、方法MTでは、第2領域R2が露出する期間において一回以上のシーケンスSQ1が実行される。これにより、エッチング量が抑えられつつ堆積物DPがウエハW上に形成され、当該堆積物DPによって第2領域R2が保護される。しかる後に、エッチング量の多い一回以上のシーケンスSQ2が実行される。したがって、方法MTによれば、第2領域R2の削れを抑制しつつ、第1領域R1をエッチングすることが可能となる。
 また、シーケンスSQ1において第2領域R2上に堆積物DPが既に形成されているので、各回のシーケンスSQ2におけるエッチング量を増加させても、第2領域R2の削れを抑制することができる。このように、各回のシーケンスSQ2のエッチング量を各回のシーケンスSQ1のエッチング量よりも増加させることにより、方法MTにおける第1領域R1のエッチングレートを向上させることができる。
 一実施形態の方法MTでは、シーケンスSQ2の実行の後、必要に応じて、シーケンスSQ3を更に実行することができる。シーケンスSQ3の工程ST11では、図14に示すように、ウエハW上に堆積物DPが形成されつつ、堆積物DPの量が減少される。そして、シーケンスSQ3の工程ST12では、Arスパッタにより、堆積物DPに含まれるフルオロカーボンのラジカルによって第1領域R1がエッチングされる。このシーケンスSQ3により、第2領域R2が堆積物DPによって保護されつつ、第2領域R2によって提供される凹部内の第1領域R1が更にエッチングされる。
 図16は、図15に対応する比較例に係る状態を示す図であり、第2工程においてパルス変調を行わなかった場合(連続波(CW))を示す。この場合、スパッタされた酸化シリコンの分子Eが、凹部の内面に再付着する。また、凹部の開口端面(肩部)における過剰なエッチングが生じ、肩部が一部欠けている。
 一方、図15の実施形態においては、第2工程において、高周波電力をパルス波状に印加している。この場合、パルスを印加していないOFF期間において、スパッタされた酸化シリコンが、凹部の外側へ抜けることが可能となるため、凹部内における酸化シリコンの再付着が抑制され、高精度にエッチングができるようになる。また、パルスのOFF期間が存在することで、過剰なイオンの加速を抑制することができるため、凹部の開口端面(肩部)における過剰なエッチングを抑制することも可能となる。
 シーケンスSQ3は、一回以上繰り返される。したがって、図1に示すように、工程ST12の実行の後、工程STcにおいて、停止条件が満たされるか否かが判定される。停止条件はシーケンスSQ3が所定回数実行されている場合に満たされるものと判定される。工程STcにおいて、停止条件が満たされないと判定される場合には、工程ST11からシーケンスSQ3が実行される。一方、工程STcにおいて、停止条件が満たされると判定される場合には、方法MTの実施が終了する。なお、全てのシーケンスの設定は、同一であってもよい。
 シーケンスSQ3の工程ST12では、高周波バイアス電力が、シーケンスSQ1及びシーケンスSQ2の工程ST12において利用される高周波バイアス電力よりも、大きい電力に設定されてもよい。例えば、シーケンスSQ1及びシーケンスSQ2の工程ST12では、高周波バイアス電力が20W~100Wの電力に設定され、シーケンスSQ3の工程ST12では、高周波バイアス電力が100W~300Wの電力に設定される。なお、一例のシーケンスSQ3では、工程ST11の実行時間長は2秒~10秒の範囲の時間長から選択され、工程ST12の実行時間長は5秒~15秒の範囲の時間長から選択される。
 図14に示すように、シーケンスSQ1及びシーケンスSQ2の実行後には、ウエハW上の堆積物DPの量が相当に多くなる。堆積物DPの量が多くなると、開口MOの幅、上部開口UO、及び下部開口LOの幅が堆積物DPによって狭められる場合があるが、例えば、シーケンスSQ3の工程ST12では比較的大きい高周波バイアス電力が利用されると、ウエハWに引きつけられるイオンのエネルギーが高められ、下部開口LOが深くても、当該下部開口LOの深部までイオンを供給することが可能となる。
 なお、被処理体の第1領域及び第2領域のエッチング技術について説明する。基板上に第1領域R1及び第2領域R2を形成したウエハW1を用いた場合、第1領域R1は、酸化シリコン(SiO)から構成され、第2領域R2は、窒化シリコン(Si)から構成されている。工程ST11及び工程ST12の処理容器内圧力といった各種条件は、上述の条件と同様である。
 第1工程では、ウエハに対して工程ST11を実行すると、フルオロカーボンガス及び酸素ガスを含む処理ガスのプラズマにより、堆積物DPが、第1領域R1上及び第2領域R2上に形成される。一例では、フルオロカーボンガスとして、Cガスが利用される。工程ST11の処理ガスには、Arガスといった希ガスが含まれていてもよい。工程ST11では、処理ガスに更に含まれる酸素の活性種により、ウエハW上の堆積物DPの量が、当該酸素の活性種によって適度に減少している。
 第2工程では、ウエハに対して工程ST12を実行する。工程ST11の処理後のウエハWが、希ガスのプラズマに晒される、希ガスは、例えば、Arガスを含む。工程ST12の処理後は、堆積物DPに含まれるフルオロカーボンのラジカルにより、第1領域R1がエッチングされる。
 工程ST12では、希ガス原子の活性種、例えば、Arガス原子のイオンが、堆積物DPに衝突する。これにより、堆積物DP中のフルオロカーボンラジカルが、第1領域R1のエッチングを進行させ、第1領域R1の厚さを減少させる。また、第1領域R1では、堆積物DPの膜厚が減少する。一方、第2領域R2では、堆積物DPの膜厚が減少するものの、第2領域R2のエッチングが抑制されるので、第2領域R2の厚さの減少量は、第1領域R1の厚さの減少量に比べて大幅に小さい。
 上述の第1工程と第2工程を行い上述の凹部を形成する実験を行った。実験条件は、上述の実施形態において、以下の通りである。なお、第2工程におけるパルス変調のデューティ比が小さくなるにしたがって、高周波電力の大きさを増加させ、全体の印加電力が全ての実験例で同一となるように設定した。シーケンスのサイクル数は39回である。
(共通条件)
(1)第1工程ST11(3秒)
処理容器内圧力:10~50mTorr
処理ガス
・Cガス流量:1~20sccm
・Arガス流量:200sccm~1500sccm
・第1の高周波電源62の高周波電力:40MHz、50W~500W
・第2の高周波電源64の高周波電力(バイアス電力):13MHz、0W~50W
・電源70の直流電圧:0V~-500V
(2)第2工程ST12(9秒)
処理容器内圧力:(3.99Pa) 10~50mTorr
処理ガス
・Arガス:(酸素を含まず)200sccm~1500sccm
第1の高周波電源62の高周波電力:40MHz、50W~500W
第2の高周波電源64の高周波電力(バイアス電力):13MHz、25W~500W
電源70の直流電圧:0V~-500V
 このデータを得るために用いた第1工程S11の処理容器内圧力、Cガス流量、Ar流量、第1及び第2の高周波電源の電力は、上記の数値範囲の中の8sccm、1100sccm、100W、0Wであり、第2工程ST12におけるArガス流量は、上述の数値範囲の中の1100sccmであり、各種条件の範囲は、これらのパラメータを変化させた場合にも、同様の効果を起こし得る範囲を示している。
 なお、パラメータを変化させた場合の実験例の条件は、以下の通りである。
(実験例1)
・第1工程において、Oガス流量:7sccm
・第2工程において、第1の高周波電源62の高周波電力:100W
・第2工程において、第2の高周波電源64の高周波電力(バイアス電力):50W
・第2工程において、高周波電力のパルス変調は無し(CW(連続波))
(実験例2)
・実験例1の第1工程において、Oガス流量:6sccm
(実験例3)
・実験例1の第1工程において、Oガス流量:5sccm
(実験例4)
・第1工程において、Oガス流量:7sccm
・第2工程において、第1の高周波電源62の高周波電力:143W
・第2工程において、第2の高周波電源64の高周波電力(バイアス電力):71W
・第2工程において、高周波電力のパルス変調は有り(デューティ比=70%)(実験例5)
・実験例4の第1工程において、Oガス流量:6sccm
(実験例6)
・実験例4の第1工程において、Oガス流量:5sccm
(実験例7)
・実験例4の第1工程において、Oガス流量:4sccm
(実施例8)
・第1工程において、Oガス流量:5sccm
・第2工程において、第1の高周波電源62の高周波電力:200W
・第2工程において、第2の高周波電源64の高周波電力(バイアス電力):100W
・第2工程において、高周波電力のパルス変調は有り(デューティ比=50%)
(実験例9)
・実験例8の第1工程において、Oガス流量:4sccm
(実験例10)
・実験例8の第1工程において、Oガス流量:3sccm
(実験例11)
・実験例8の第1工程において、Oガス流量:2sccm
(実験例12)
・第1工程において、Oガス流量:5sccm
・第2工程において、第1の高周波電源62の高周波電力:333W
・第2工程において、第2の高周波電源64の高周波電力(バイアス電力):167W
・第2工程において、高周波電力のパルス変調は有り(デューティ比=30%)
(実験例13)
・実施例12の第1工程において、Oガス流量:4sccm
(実験例14)
・実施例12の第1工程において、Oガス流量:3sccm
(実験例15)
・実験例12の第1工程において、Oガス流量:2sccm
(実験結果)
 実験例において、凹部の開口端面の第2領域(窒化シリコン)のエッチング量及び凹部内の抜け不良の有無は、以下の通りである。なお、抜け不良は、電子顕微鏡で凹部内を観察した場合に、凹部内に堆積物が付着していることが確認できた場合を表す。
・実験例1:11.1nm(抜け良好)
・実験例2:7.5nm(抜け不良)
・実験例3:6.8nm(抜け不良)
・実験例4:11.1nm(抜け良好)
・実験例5:10.2nm(抜け良好)
・実験例6:7.5nm(抜け不良)
・実験例7:4.3nm(抜け不良)
・実験例8:8.6nm(抜け良好)
・実験例9:6.8nm(抜け良好)
・実験例10:5.7nm(抜け不良)
・実験例11:3.9nm(抜け不良)
・実験例12.8nm(抜け良好)
・実験例13:7.7nm(抜け良好)
・実験例14:6.4nm(抜け良好)
・実験例15:4.5nm(抜け不良)
 図17は、上記実験結果を纏めた図である。
 上述の実施例では、パルス変調を行うことにより、第1工程の酸素流量によらず、抜け不良が生じる場合が減少することが分かる。また、第2工程のディーティ比を小さくすると、抜け不良(UE)がさらに減少することが分かる。第1工程の酸素流量が少ない場合は、開口端面における窒化シリコンの除去量が減少し、選択エッチング性が向上することが分かる。なお、実施例1の場合は、凹部の肩部において、過剰なエッチングが行われ、窒化シリコンの欠けが観察されたが、実施例10においては、観察されなかった。
 以上、説明したように、上記エッチング方法においては、被処理体に対するプラズマ処理によって、酸化シリコンから構成された第1領域を窒化シリコンから構成された第2領域に対して選択的にエッチングする方法であって、被処理体は、凹部を画成する第2領域、該凹部を埋め、且つ第2領域を覆うように設けられた第1領域、及び、第1領域上に設けられたマスクを有し、該マスクは、凹部の上に該凹部の幅よりも広い幅を有する開口を提供し、該方法は、被処理体を収容した処理容器内においてフルオロカーボンガスを含む処理ガスのプラズマを生成する第1工程であり、被処理体上にフルオロカーボンを含む堆積物を形成する、該第1工程と、堆積物に含まれるフルオロカーボンのラジカルによって前記第1領域をエッチングする第2工程であり、プラズマの形成に寄与する高周波電力をパルス波状に印加する、該第2工程と、を含み、前記第1工程、及び前記第2工程を含むシーケンスが繰り返して実行される。
 この方法では、第2工程において、高周波電力をパルス波状に印加するので、パルスを印加していないOFF期間において、スパッタされた酸化シリコンが凹部の外側へ抜けることが可能となり、凹部内における酸化シリコンの再付着が抑制され、高精度にエッチングができるようになる。したがって、上記の実験結果の如く、デューティ比を下げるほど、抜け不良の発生確率が減少する。
 また、パルスのOFF期間が存在することで、過剰なイオンの加速を抑制することができるため、凹部の開口端面における過剰なエッチングを抑制できている。
 また、上記エッチング方法においては、第1工程のプラズマは、フルオロカーボンガス、酸素含有ガス及び不活性ガスを含む処理ガスのプラズマである。これらのガスのプラズマは、第1領域及び第2領域上に堆積物を形成することができ、堆積物にエネルギーを与えることで、酸化シリコンを選択的にエッチングすることができる。
 また、上記エッチング方法においては、第2工程において、処理容器内の前記被処理体の上部に設けられた上部電極にプラズマ生成用の第1高周波電力を与え、被処理体の下部に設けられた下部電極にイオン引き込み用の第2高周波電力を与え、第1高周波電力及び第2高周波電力がONとなる期間と、OFFとなる期間を交互に切り替える変調を行うことにより、パルス波状の高周波電力を生成している。この方法によれば、パルス変調により、抜け不良が減少している。
 また、パルス波状の上記高周波電力のONとなる期間のパルス周期に対する比率(デューティ比)は、10%以上70%以下であることが好ましい。この場合、デューティ比が70%を超えた場合よりも、抜け不良が減少する。すなわち、凹部の開口端面(肩部)に位置する窒化シリコンが削られる量を抑制することができる。
 また、パルス波状の前記高周波電力のONとなる期間のパルス周期に対する比率(デューティ比)は、50%以上60%以下であることが更に好ましい。この場合、デューティ比が抜け不良が更に減少することが判明した。
 10…プラズマ処理装置、12…処理容器、30…上部電極、PD…載置台、LE…下部電極、ESC…静電チャック、40…ガスソース群、42…バルブ群、44…流量制御器群、50…排気装置、62…第1の高周波電源、64…第2の高周波電源、Cnt…制御部、W…ウエハ、W1…ウエハ、R1…第1領域、R2…第2領域、OL…有機膜、AL…シリコン含有反射防止膜、MK…マスク、DP…堆積物。

 

Claims (6)

  1.  被処理体に対するプラズマ処理によって、酸化シリコンから構成された第1領域を窒化シリコンから構成された第2領域に対して選択的にエッチングする方法であって、
     前記被処理体は、凹部を画成する前記第2領域、該凹部を埋め、且つ前記第2領域を覆うように設けられた前記第1領域、及び、前記第1領域上に設けられたマスクを有し、該マスクは、前記凹部の上に該凹部の幅よりも広い幅を有する開口を提供し、
     該方法は、
     前記被処理体を収容した処理容器内においてフルオロカーボンガスを含む処理ガスのプラズマを生成する第1工程であり、前記被処理体上にフルオロカーボンを含む堆積物を形成する、該第1工程と、
     前記堆積物に含まれるフルオロカーボンのラジカルによって前記第1領域をエッチングする第2工程であり、前記プラズマの形成に寄与する高周波電力をパルス波状に印加する、該第2工程と、を含み、
     前記第1工程、及び前記第2工程を含むシーケンスが繰り返して実行される、エッチング方法。
  2.  前記第2工程の前記エッチングは、実質的に酸素を含まない処理ガスによって行われる、
    請求項1に記載のエッチング方法。
  3.  前記第1工程のプラズマは、フルオロカーボンガス、酸素含有ガス及び不活性ガスを含む処理ガスのプラズマである、
    請求項1又は2に記載のエッチング方法。
  4.  前記第2工程において、
     前記処理容器内の前記被処理体の上部に設けられた上部電極にプラズマ生成用の第1高周波電力を与え、
     前記被処理体の下部に設けられた下部電極にイオン引き込み用の第2高周波電力を与え、
     前記第1高周波電力及び前記第2高周波電力がONとなる期間と、OFFとなる期間を交互に切り替える変調を行うことにより、前記パルス波状の高周波電力を生成する、
    請求項1乃至3のいずれか一項に記載のエッチング方法。
  5.  前記パルス波状の前記高周波電力のONとなる期間のパルス周期に対する比率(デューティ比)は、10%以上70%以下である、
    請求項1乃至4のいずれか一項に記載のエッチング方法。
  6.  前記パルス波状の前記高周波電力のONとなる期間のパルス周期に対する比率(デューティ比)は、50%以上60%以下である、
    請求項1乃至4のいずれか一項に記載のエッチング方法。
     

     
PCT/JP2017/018347 2016-05-30 2017-05-16 エッチング方法 WO2017208807A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201780034555.XA CN109219867B (zh) 2016-05-30 2017-05-16 蚀刻方法
KR1020187019992A KR102460164B1 (ko) 2016-05-30 2017-05-16 에칭 방법
US16/069,757 US10658189B2 (en) 2016-05-30 2017-05-16 Etching method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-107370 2016-05-30
JP2016107370A JP6689674B2 (ja) 2016-05-30 2016-05-30 エッチング方法

Publications (1)

Publication Number Publication Date
WO2017208807A1 true WO2017208807A1 (ja) 2017-12-07

Family

ID=60479619

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2017/018347 WO2017208807A1 (ja) 2016-05-30 2017-05-16 エッチング方法

Country Status (6)

Country Link
US (1) US10658189B2 (ja)
JP (1) JP6689674B2 (ja)
KR (1) KR102460164B1 (ja)
CN (1) CN109219867B (ja)
TW (1) TWI761345B (ja)
WO (1) WO2017208807A1 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019151024A1 (ja) * 2018-02-02 2019-08-08 東京エレクトロン株式会社 半導体装置及びその製造方法
WO2019151022A1 (ja) * 2018-02-02 2019-08-08 東京エレクトロン株式会社 半導体装置及びその製造方法
WO2019151018A1 (ja) * 2018-02-02 2019-08-08 東京エレクトロン株式会社 半導体装置の製造方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11887813B2 (en) 2021-06-23 2024-01-30 Applied Materials, Inc. Pulsed voltage source for plasma processing
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7110034B2 (ja) * 2018-08-24 2022-08-01 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
JP7101096B2 (ja) * 2018-10-12 2022-07-14 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7174634B2 (ja) * 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP2021163839A (ja) 2020-03-31 2021-10-11 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
WO2024043185A1 (ja) * 2022-08-23 2024-02-29 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015216208A (ja) * 2014-05-09 2015-12-03 東京エレクトロン株式会社 エッチング方法
JP2016027594A (ja) * 2014-07-01 2016-02-18 東京エレクトロン株式会社 被処理体を処理する方法
JP2016048771A (ja) * 2014-08-28 2016-04-07 東京エレクトロン株式会社 エッチング方法
JP2016058590A (ja) * 2014-09-11 2016-04-21 株式会社日立ハイテクノロジーズ プラズマ処理方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
JP2000307001A (ja) 1999-04-22 2000-11-02 Sony Corp 半導体装置の製造方法
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20130024439A1 (en) 2011-07-20 2013-01-24 Microsoft Corporation Modeling search in a social graph
US20140051256A1 (en) 2012-08-15 2014-02-20 Lam Research Corporation Etch with mixed mode pulsing
US9129902B2 (en) 2013-05-01 2015-09-08 Lam Research Corporation Continuous plasma ETCH process
US9105700B2 (en) 2013-12-12 2015-08-11 Lam Research Corporation Method for forming self-aligned contacts/vias with high corner selectivity
JP2015170965A (ja) 2014-03-06 2015-09-28 エスアイアイ・クリスタルテクノロジー株式会社 圧電振動片及び圧電振動子

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015216208A (ja) * 2014-05-09 2015-12-03 東京エレクトロン株式会社 エッチング方法
JP2016027594A (ja) * 2014-07-01 2016-02-18 東京エレクトロン株式会社 被処理体を処理する方法
JP2016048771A (ja) * 2014-08-28 2016-04-07 東京エレクトロン株式会社 エッチング方法
JP2016058590A (ja) * 2014-09-11 2016-04-21 株式会社日立ハイテクノロジーズ プラズマ処理方法

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019151022A1 (ja) * 2018-02-02 2019-08-08 東京エレクトロン株式会社 半導体装置及びその製造方法
WO2019151018A1 (ja) * 2018-02-02 2019-08-08 東京エレクトロン株式会社 半導体装置の製造方法
JPWO2019151018A1 (ja) * 2018-02-02 2021-01-07 東京エレクトロン株式会社 半導体装置の製造方法
WO2019151024A1 (ja) * 2018-02-02 2019-08-08 東京エレクトロン株式会社 半導体装置及びその製造方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11887813B2 (en) 2021-06-23 2024-01-30 Applied Materials, Inc. Pulsed voltage source for plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Also Published As

Publication number Publication date
US10658189B2 (en) 2020-05-19
TWI761345B (zh) 2022-04-21
JP2017216284A (ja) 2017-12-07
CN109219867A (zh) 2019-01-15
KR102460164B1 (ko) 2022-10-28
US20190080917A1 (en) 2019-03-14
KR20190015174A (ko) 2019-02-13
CN109219867B (zh) 2023-07-07
TW201801180A (zh) 2018-01-01
JP6689674B2 (ja) 2020-04-28

Similar Documents

Publication Publication Date Title
WO2017208807A1 (ja) エッチング方法
KR102513051B1 (ko) 에칭 방법
US20220051904A1 (en) Etching method
US11264246B2 (en) Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
WO2017199946A1 (ja) エッチング方法
JP6521848B2 (ja) エッチング方法
US20200111679A1 (en) Etching method
JP6550278B2 (ja) エッチング方法
WO2017199958A1 (ja) エッチング方法
US9754797B2 (en) Etching method for selectively etching silicon oxide with respect to silicon nitride
US9633864B2 (en) Etching method

Legal Events

Date Code Title Description
ENP Entry into the national phase

Ref document number: 20187019992

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17806365

Country of ref document: EP

Kind code of ref document: A1

122 Ep: pct application non-entry in european phase

Ref document number: 17806365

Country of ref document: EP

Kind code of ref document: A1