JP2000307001A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP2000307001A
JP2000307001A JP11114866A JP11486699A JP2000307001A JP 2000307001 A JP2000307001 A JP 2000307001A JP 11114866 A JP11114866 A JP 11114866A JP 11486699 A JP11486699 A JP 11486699A JP 2000307001 A JP2000307001 A JP 2000307001A
Authority
JP
Japan
Prior art keywords
film
etching
interlayer insulating
etching stopper
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11114866A
Other languages
English (en)
Inventor
Yasushi Morita
靖 森田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP11114866A priority Critical patent/JP2000307001A/ja
Publication of JP2000307001A publication Critical patent/JP2000307001A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 層間絶縁膜の下層にエッチングストッパ膜を
設けるSAC技術を用いてコンタクトホールを形成する
際に、コンタクト領域を覆うエッチングストッパ膜の除
去を、間絶縁膜のエッチングを招くことなく且つ安定し
て制御性よく行って、微細なコンタクトホールを再現性
よく形成することが可能な半導体装置の製造方法を提供
することを目的とする。 【解決手段】 2個のゲート電極20側壁のSiO2
イドウォール24に挟まれた導電領域22上に、SiN
エッチングストッパ膜26、BPSG層間絶縁膜28、
及びTiNカバー膜30を順に形成し、TiNカバー膜
30及びBPSG層間絶縁膜28を選択的にエッチング
除去してホールを形成した後、TiNカバー膜30をマ
スクとしてホール底面のSiNエッチングストッパ膜2
6を選択的にエッチング除去し導電領域22に達するコ
ンタクトホール40を形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置の製造方
法に係り、特に半導体装置の微細化に対応して微細なコ
ンタクトを自己整合的に形成する半導体装置の製造方法
に関するものである。
【0002】
【従来の技術】近年のVLSI(Very Large Scale Int
egration)等に見られるように、半導体装置の高集積化
及び高性能化の進展に伴い、微細加工、特に配線パター
ンの微細加工についての要求が厳しくなっている。そし
て、その一環として、微細なコンタクトを形成すること
も強く要請されている。
【0003】従来の半導体装置の製造方法において、半
導体基板表面の導電領域に達するコンタクトホールを形
成する際には、通常、フォトリソグラフィ技術を用いて
形成した所定の開口部をもつレジストパターンをマスク
として、ドライエッチングにより層間絶縁膜を選択的に
エッチング除去する加工を行っている。
【0004】しかし、この場合には、フォトリソグラフ
ィ法によりレジストパターンを露光現像する際の解像度
やこのレジストパターンの開口部と半導体基板表面の導
電領域との位置合わせ精度に限界があり、こうした限界
によってコンタクトホールの微細化の程度が決定されて
しまうという制約があった。
【0005】このため、こうしたフォトリソグラフィ技
術を用いる場合の限界を突破して、微細なコンタクトの
形成を可能にする代表的な手段として、自己整合式コン
タクト加工(Self-Aligned Contact:以下「SAC」と
略する)技術がある。
【0006】例えば2つのゲート電極に挟まれた半導体
基板表面の導電領域に達する微細なコンタクトホールを
形成する場合、ゲート電極の上面及び側面に形成されて
いるオフセット絶縁膜及びサイドウォール絶縁膜を利用
して、2つのゲート電極間の微細な領域に自己整合的に
コンタクトホールを形成するSAC技術が開発されてい
る。
【0007】但し、多層配線に伴って層間絶縁膜表面の
平坦化が要求されるようになると、この層間絶縁膜とゲ
ート電極を被覆するオフセット絶縁膜及びサイドウォー
ル絶縁膜とのエッチング速度は略同等であることから、
層間絶縁膜のエッチングの際にオフセット絶縁膜及びサ
イドウォール絶縁膜までもがエッチング除去されて、開
口されるコンタクトホール内にゲート電極が露出する恐
れが生じ、コンタクトホール内に形成される配線層とゲ
ート電極とがショートする危険性が生じることとなっ
た。
【0008】このようなコンタクトホール内の配線層と
ゲート電極とのショートを防止するために、層間絶縁膜
の下層に、層間絶縁膜のとのエッチング選択比がとれる
エッチングストッパ膜を形成する方法が開発された。以
下、この層間絶縁膜の下層にエッチングストッパ膜を形
成するSAC技術について、図14〜図17の工程断面
図を用いて説明する。
【0009】先ず、半導体基板10表面を熱酸化して、
ゲート酸化膜12を形成した後、このゲート酸化膜12
上に、所定の不純物を含有するポリシリコン層14、W
Si(タングステンシリサイド)層16、及びオフセッ
トSiO2 膜18を順に積層して形成する。
【0010】続いて、これらオフセットSiO2 膜1
8、WSi層16、及びポリシリコン層14を所定の形
状にパターニングして、ゲート酸化膜12上に、下から
順に積層されたポリシリコン層14及びWSi層16か
らなる2個のゲート電極及びそれに接続する配線層(以
下、単に「ゲート電極」という)20を微細な間隔をお
いて形成する。
【0011】続いて、これら2個のゲート電極20及び
その上層のオフセットSiO2 膜18をマスクとして、
半導体基板10表面に所定の不純物をイオン注入した
後、活性化処理を施して、導電領域22を形成する。
【0012】続いて、半導体基体全面にSiO2 膜を堆
積した後、このSiO2 膜及びゲート酸化膜12を異方
性エッチングして、オフセットSiO2 膜18及びゲー
ト電極20の側壁にSiO2 サイドウォール24を形成
すると共に、2個のゲート電極20側壁のSiO2 サイ
ドウォール24に挟まれた導電領域22表面を露出させ
る。
【0013】続いて、半導体基体全面に、SiNエッチ
ングストッパ膜26を形成する。更に、このSiNエッ
チングストッパ膜26上にBPSG(Boro-Phospho-Sli
cateGlass)層間絶縁膜28を形成する。その後に、C
MP(Chemical MechanicalPolishing ;化学的機械的
研磨)法やリフロー(reflow)法を用いる平坦化プロセ
スにより、このBPSG層間絶縁膜28表面を平坦化す
る。
【0014】続いて、この表面を平坦化したBPSG層
間絶縁膜28上にレジストを塗布した後、通常のフォト
リソグラフィ技術を用いてパターニングし、導電領域2
2上方に所定の大きさの開口部32をもつレジストパタ
ーン34を形成する(図14参照)。
【0015】次いで、RIE(Reactive Ion Etching;
反応性イオンエッチング)法を用いて、このレジストパ
ターン34をマスクとして、その開口部32内に露出し
ているBPSG層間絶縁膜28を選択的にエッチング
し、SiNエッチングストッパ膜26表面に達するホー
ル36を形成する。
【0016】但し、このBPSG層間絶縁膜28のエッ
チングの際には、エッチングガスやレジストの中のC
(炭素)やF(フッ素)を含有するポリマー膜38がホ
ール36内の側壁及び底面に付着するという現象が生じ
る(図15参照)。
【0017】このようして、ホール36底面のSiNエ
ッチングストッパ膜26上にもポリマー膜38が付着す
るため、次の工程においてホール36内に露出するSi
Nエッチングストッパ膜26を選択的にエッチング除去
する際には、ポリマー膜38とSiNエッチングストッ
パ膜26を同時にエッチング除去する必要がある。
【0018】ところが、これらポリマー膜38とSiN
エッチングストッパ膜26とは、そのエッチング特性、
例えばエッチング速度などが異なるため、両者を同時に
加工するのは非常に困難である。
【0019】また、ホール36底面のSiNエッチング
ストッパ膜26上のポリマー膜38はBPSG層間絶縁
膜28を選択的にエッチングする際のオーバーエッチン
グ時に形成されるが、ホール36を開口する被エッチン
グ領域は狭く、発光などを利用した終点検出も容易では
ないことから、このBPSG層間絶縁膜のエッチング時
間は、BPSG層間絶縁膜28の膜厚とある程度のオー
バーエッチングを考慮した所定の固定された時間として
画一的に決定されることになる。
【0020】そのため、各ホール36におけるオーバー
エッチング量は、同一のウェーハ内におけるBPSG層
間絶縁膜28の膜厚のばらつきによって異なると共に、
ウェーハ間におけるBPSG層間絶縁膜28の膜厚のば
らつきによっても異なるために、ポリマー膜38の膜厚
も同一ウェーハ内のみならずウェーハ間においてもばら
つくことになる。
【0021】こうなると、ポリマー膜38とSiNエッ
チングストッパ膜26を同時にエッチング除去するため
にはかなり過剰なエッチング処理を施すことが必要にな
り、SiNエッチングストッパ膜26を安定して制御性
よくエッチングすることが困難になることから、下地の
半導体基板10へのダメージが発生するのみならず、自
己整合的に開口するはずのコンタクトホールの形成も不
可能になる。
【0022】このため、ポリマー膜38とSiNエッチ
ングストッパ膜26を同時にエッチング除去する代わり
に、先ずポリマー膜38をエッチング除去した後に、改
めてSiNエッチングストッパ膜26をエッチング除去
する2段階のエッチング処理を行うこととする。
【0023】即ち、レジストを除去するための通常のア
ッシャ(asher )を用いて、このホール36内の側壁及
び底面(以下、両者を併せて「内壁」という)に付着し
ているポリマー膜38を除去して、ホール36内にSi
Nエッチングストッパ膜26表面を露出させる。但し、
この場合、BPSG層間絶縁膜28上のレジストパター
ン34も同時に除去され、BPSG層間絶縁膜28が露
出することになる(図16参照)。
【0024】続いて、ホール36底面に露出しているS
iNエッチングストッパ膜26を選択的にエッチング除
去して、半導体基板10の導電領域22表面に達するコ
ンタクトホール40を2個のゲート電極20側壁のSi
2 サイドウォール24に規定されて自己整合的に形成
する。
【0025】但し、この場合、BPSG層間絶縁膜28
上のレジストパターン34は既に除去されて存在しない
ため、BPSG層間絶縁膜28も全面的にエッチングさ
れることになる(図17参照)。
【0026】以上のようにして、コンタクトホール40
を開口するコンタクト領域、即ち2個のゲート電極20
側壁のSiO2 サイドウォール24に挟まれた半導体基
板10表面の導電領域22に、予めSiNエッチングス
トッパ膜26を形成して被覆しておき、このSiNエッ
チングストッパ膜26上にBPSG層間絶縁膜28を形
成し、このBPSG層間絶縁膜28上にリソグラフィ技
術を用いて形成した所定の大きさの開口部32をもつレ
ジストパターン34をマスクとしてBPSG層間絶縁膜
28を選択的にエッチングしてホール36を形成し、ホ
ール36底面に露出しているSiNエッチングストッパ
膜26を選択的にエッチング除去して、半導体基板10
の導電領域22表面に達するコンタクトホール40を2
個のゲート電極20側壁のSiO2 サイドウォール24
に規定されて自己整合的に形成することにより、2個の
ゲート電極20側壁のSiO2 サイドウォール24に挟
まれた半導体基板10の導電領域22がリソグラフィ技
術の解像限界以下の微細な領域であっても、その微細な
領域を開口するコンタクトホール40を容易に形成する
ことができる。
【0027】しかも、その際、ゲート電極20の上面及
び側壁はオフセットSiO2 膜18及びSiO2 サイド
ウォール24によって被覆されており、後の工程におい
てコンタクトホール40内に形成するプラグ層とゲート
電極20とが接触しないようになっている。
【0028】
【発明が解決しようとする課題】上記のようにBPSG
層間絶縁膜28の下層にSiNエッチングストッパ膜2
6を形成するSAC技術を用いて、2個のゲート電極2
0側壁のSiO2 サイドウォール24に挟まれた微細な
領域である導電領域22を開口するコンタクトホール4
0を形成する従来の半導体装置の製造方法においては、
BPSG層間絶縁膜28を選択的にエッチング除去し、
SiNエッチングストッパ膜26表面に達するホール3
6を形成する第1のステップと、ホール36底面に露出
しているSiNエッチングストッパ膜26を選択的にエ
ッチング除去し、導電領域22表面に達するコンタクト
ホール40を2個のゲート電極20側壁のSiO2 サイ
ドウォール24に規定されて自己整合的に形成する第2
のステップとの2段階のエッチングステップが必要があ
る。
【0029】そして、第1のステップのBPSG層間絶
縁膜28を選択的にエッチング除去する際には、上述の
ように、ポリマー膜38がホール36内壁に付着すると
いう現象が生じた。このため、SiNエッチングストッ
パ膜26を選択的にエッチング除去する第2のステップ
において、このポリマー膜38とSiNエッチングスト
ッパ膜26を同時にエッチング除去しようとすると、種
々の不都合が発生することから、先ずポリマー膜38を
エッチング除去した後に、改めてSiNエッチングスト
ッパ膜26をエッチング除去する2段階のエッチング処
理を行うこととした。
【0030】しかし、この場合には、ホール36内壁に
付着しているポリマー膜38を除去する際に、BPSG
層間絶縁膜28上のレジストパターン34も同時に除去
されてしまうため、第2のステップのSiNエッチング
ストッパ膜26を選択的にエッチング除去する際に、B
PSG層間絶縁膜28も全面的にエッチングされること
になる。
【0031】このため、上記図17に示されるように、
全面的エッチングされたBPSG層間絶縁膜28は所望
の膜厚よりも遙に薄膜化され、ゲート電極20と後にB
PSG層間絶縁膜28上に形成する配線層との間にショ
ートが発生し易くなるという問題が生じた。
【0032】また、BPSG層間絶縁膜28をエッチン
グする際に発生する酸素の影響により、SiNエッチン
グストッパ膜26のエッチング速度が変動したり、その
均一性が悪化したり、SiNエッチングストッパ膜26
の下に存在する半導体基板10との選択比が低下したり
するため、SiNエッチングストッパ膜26を安定して
制御性よくエッチングすることができなくなる。
【0033】その結果、上記図17に示されるように、
SiNエッチングストッパ膜26のエッチングの際に、
ゲート電極20を被覆しているオフセットSiO2 膜1
8及びSiO2 サイドウォール24が削られたり、導電
領域22表面が削られたりすることになった。このた
め、ゲート電極20をコンタクトホール内に形成する配
線層との間にショートが発生したり、コンタクト特性が
劣化したりするという問題も生じた。
【0034】なお、上記のBPSG層間絶縁膜28の薄
膜化に起因する問題を回避するために、このBPSG層
間絶縁膜28が全面的にエッチングされる量を予め考慮
してBPSG層間絶縁膜28を非常に厚く形成すること
が考えられる。
【0035】しかし、この場合には、BPSG層間絶縁
膜28を選択的にエッチング除去して形成するホール3
6のアスペクト比が極めて大きくなり、このホール36
を良好に開口するためのエッチング条件を設定すること
が困難になる。
【0036】また、BPSG層間絶縁膜28を非常に厚
く形成することによっては、BPSG層間絶縁膜28を
エッチングする際に発生する酸素の影響によるSiNエ
ッチングストッパ膜26のエッチング速度の変動等に起
因する問題を解決することはできない。また、当然に、
コンタクトホール40の開口率にも影響するため、幅広
い応用が困難になってしまう。
【0037】以上のことから、ホール36底面をなす非
常に狭い領域に存在するSiNエッチングストッパ膜2
6を選択的にエッチング除去する際に、それ以外の広い
領域に存在しているBPSG層間絶縁膜28までもが全
面的にエッチングされることが原因となって上記の諸問
題が発生するといえる。
【0038】従って、SACプロセスにおけるSiNエ
ッチングストッパ膜26の除去を、BPSG層間絶縁膜
28のエッチングを招くことなく、且つ安定して制御性
よく行うことが可能な技術の開発が切望されている。
【0039】そこで本発明は、上記問題点を鑑みてなさ
れたものであり、層間絶縁膜の下層にエッチングストッ
パ膜を設けるSAC技術を用いてコンタクトホールを形
成する際に、コンタクト領域を覆うエッチングストッパ
膜の除去を、間絶縁膜のエッチングを招くことなく且つ
安定して制御性よく行って、微細なコンタクトホールを
再現性よく形成することが可能な半導体装置の製造方法
を提供することを目的とする。
【0040】
【課題を解決するための手段】上記課題は、以下の本発
明に係る半導体装置の製造方法により達成される。即
ち、請求項1に係る半導体装置の製造方法は、半導体基
板上に段差形状物を形成すると共に、この段差形状物に
挟まれた半導体基板表面に導電領域を形成する第1の工
程と、これら段差形状物及び導電領域を被覆するエッチ
ングストッパ膜を形成する第2の工程と、このエッチン
グストッパ膜上に、層間絶縁膜を形成した後、この層間
絶縁膜表面を平坦化する第3の工程と、この層間絶縁膜
上に、エッチングストッパ膜とのエッチング選択比がと
れるカバー膜を形成する第4の工程と、このカバー膜上
に形成した所定のレジストパターンをマスクとして、カ
バー膜及び層間絶縁膜を選択的にエッチング除去して、
エッチングストッパ膜に達するホールを形成する第5の
工程と、この第5の工程におけるエッチングの際にホー
ル内壁に付着したポリマー膜を除去する第6の工程と、
層間絶縁膜上のカバー膜をマスクとして、ホール底面に
露出するエッチングストッパ膜を選択的にエッチング除
去し、段差形状物に挟まれた導電領域に達するコンタク
トホールを形成する第7の工程とを有することを特徴と
する。
【0041】なお、ここで、「エッチングストッパ膜と
のエッチング選択比がとれるカバー膜」というのは、エ
ッチングストッパ膜をエッチングする際に、エッチング
ストッパ膜よりもカバー膜のエッチング速度が十分に遅
く、エッチングストッパ膜のエッチング速度とカバー膜
のエッチング速度との比、即ちエッチング選択比を十分
に高くすることが可能なカバー膜を意味する。
【0042】このように請求項1に係る半導体装置の製
造方法においては、半導体基板上の段差形状物及びこれ
らの段差形状物に挟まれた半導体基板表面の導電領域を
被覆するエッチングストッパ膜、層間絶縁膜、及びエッ
チングストッパ膜とのエッチング選択比がとれるカバー
膜を順に形成し、このカバー膜上のレジストパターンを
マスクとして、カバー膜及び層間絶縁膜を選択的にエッ
チング除去してエッチングストッパ膜に達するホールを
形成し、更に層間絶縁膜上層のカバー膜をマスクとし
て、ホール底面に露出するエッチングストッパ膜を選択
的にエッチング除去して段差形状物に挟まれた導電領域
に達するコンタクトホールを形成することにより、層間
絶縁膜をエッチングする際にホール内壁に付着したポリ
マー膜を除去するときに同時にマスクとして使用したレ
ジストパターンが除去されても、ホール底面のエッチン
グストッパ膜をエッチング除去する際には層間絶縁膜上
のカバー膜がマスクとして機能するため、従来のように
エッチングストッパ膜のエッチングの際に同時に層間絶
縁膜もエッチングされて薄膜化することにより上層の配
線と下層の配線との間のショートが起こり易くなる危険
性を防止しつつ、ホール底面のエッチングストッパ膜が
安定して制御性よく除去される。従って、段差形状物に
挟まれた導電領域がレジストパターンの開口部より狭い
ものであってもレジストパターンを形成する際の解像度
や位置合わせの限界に制約されることなく、微細な導電
領域に達するコンタクトホールが再現性よく自己整合的
に形成される。
【0043】また、請求項2に係る半導体装置の製造方
法は、上記請求項1に係る半導体装置の製造方法におい
て、前記第7の工程の後、即ち半導体基板上の段差形状
物に挟まれた導電領域に達するコンタクトホールを形成
した後、半導体基体全面に導電体層を堆積して前記コン
タクトホール内を埋め込み、更に導電体層及びカバー膜
を層間絶縁膜表面が露出するまでエッチバック又は研磨
して、コンタクトホール底面の導電領域に接続する導電
体層からなるプラグ層を形成する工程を有する構成とす
ることにより、このプラグ層を形成するための導電体層
のエッチバック又は研磨の際に連続してカバー膜までも
エッチバック又は研磨することになるため、カバー膜を
除去するための工程を特別に設ける必要がなくなり、工
程の煩雑化が回避される。また、このカバー膜をエッチ
バック又は研磨する際に、このエッチバック又は研磨を
層間絶縁膜表面が露出した段階で停止することは容易に
可能であるため、層間絶縁膜が削り取られる恐れはな
く、所望の厚さの層間絶縁膜が確実に残存することにな
る。
【0044】また、請求項3に係る半導体装置の製造方
法は、上記請求項1に係る半導体装置の製造方法におい
て、前記第7の工程の後、即ち半導体基板上の段差形状
物に挟まれた導電領域に達するコンタクトホールを形成
した後、半導体基体全面に導電体層を堆積してコンタク
トホール内を埋め込み、更に第1の導電体層をカバー膜
表面が露出するまでエッチバック又は研磨して、コンタ
クトホール内の導電領域に接続する第1の導電体層から
なるプラグ層を形成する工程と、半導体基体全面に第2
の導電体層を堆積し、更にこの第2の導電体層上に形成
した所定のレジストパターンをマスクとして、第2の導
電体層及びカバー膜を選択的にエッチング除去し、プラ
グ層に接続する第2の導電体層からなる配線層を形成す
る工程とを有する構成とすることにより、この配線層を
形成するための第2の導電体層の選択的なエッチングの
際に連続してカバー膜までも選択的にエッチング除去す
ることになるため、カバー膜を除去するための工程を特
別に設ける必要がなくなって、工程の煩雑化が回避され
る。また、このカバー膜をエッチング除去する際、この
エッチングを層間絶縁膜表面が露出した段階で停止する
ことは容易に可能であるため、層間絶縁膜が削り取られ
る恐れはなく、所望の厚さの層間絶縁膜が確実に残存す
ることになる。
【0045】なお、上記請求項1に係る半導体装置の製
造方法において、エッチングストッパ膜としてシリコン
窒化膜を用い、層間絶縁膜としてシリコン酸化膜を用
い、カバー膜としてポリシリコン膜を用いることが好適
である。
【0046】或いはまた、エッチングストッパ膜として
シリコン窒化膜を用い、層間絶縁膜としてシリコン酸化
膜を用い、カバー膜としてTi(チタン)膜又はTiN
(窒化チタン)膜を用いることも好適である。
【0047】なお、ここで、層間絶縁膜として使用して
いる「シリコン酸化膜」とは、Si(シリコン)とO
(酸素)を主要な組成としている誘電体膜をいい、具体
的にはSiO2 膜、SiO膜、SiON膜、PSG(Ph
ospho-Slicate Glass )、BSG(Boro-Slicate Glas
s)、又はBPSG等をいう。
【0048】そして、この場合、層間絶縁膜上のカバー
膜をマスクとしてホール底面に露出するエッチングスト
ッパ膜を選択的にエッチング除去する際に、カバー膜と
してのポリシリコン膜又はTi膜若しくはTiN膜は、
エッチングストッパ膜としてのシリコン窒化膜に対して
十分に高いエッチング選択比をとることが容易に可能で
ある。
【0049】また、このカバー膜をマスクとするエッチ
ングストッパ膜の選択的なエッチングの際に、カバー膜
としてのポリシリコン膜又はTi膜若しくはTiN膜に
は酸素が含有されていないことに加え、このカバー膜に
上面を被覆された層間絶縁膜としてのシリコン酸化膜に
対するエッチングが進行しないため、このエッチングに
伴って酸素が発生することはない。従って、従来のよう
に層間絶縁膜としてのシリコン酸化膜がエッチングされ
る際に発生する酸素の影響によってエッチングストッパ
膜のエッチング速度の変動やその均一性の悪化やエッチ
ングストッパ膜の下に存在する半導体基板との選択比の
低下などが防止され、非常に安定した制御性のよいエッ
チングストッパ膜のエッチングが行われることになる。
【0050】
【発明の実施の形態】以下、添付図面を参照しながら、
本発明の実施の形態を説明する。図1〜図11はそれぞ
れ本発明の一実施形態に係るSAC技術を用いる半導体
装置の製造方法を説明するための工程断面図である。
【0051】先ず、半導体基板10表面を熱酸化してゲ
ート酸化膜12を形成した後、このゲート酸化膜12上
に、所定の不純物を含有するポリシリコン層14、WS
i層16、及びオフセットSiO2 膜18を順に積層し
て形成する。
【0052】続いて、このオフセットSiO2 膜18上
に形成した所定のレジストパターンをマスクとして、こ
れらオフセットSiO2 膜18、WSi層16、及びポ
リシリコン層14を順に選択的にエッチングする。
【0053】こうして、ゲート酸化膜12上に、下から
順に積層されたポリシリコン層14及びWSi層16か
らなる2個のゲート電極20を微細な間隔をおいて形成
すると共に、これらのゲート電極20上面をオフセット
SiO2 膜18によって被覆する。
【0054】続いて、これら2個のゲート電極20及び
その上層のオフセットSiO2 膜18をマスクとして、
半導体基板10表面に所定の不純物をイオン注入した
後、活性化処理を施して、導電領域22を形成する。
【0055】続いて、半導体基体全面にSiO2 膜を堆
積した後、このSiO2 膜及びゲート酸化膜12を異方
性エッチングして、オフセットSiO2 膜18及びゲー
ト電極20の側壁に残存させたSiO2 膜からなるSi
2 サイドウォール24を形成する。同時に、2個のゲ
ート電極20側壁のSiO2 サイドウォール24に挟ま
れた導電領域22表面を露出させる(図1参照)。
【0056】次いで、半導体基体全面に、SiN膜から
なるSiNエッチングストッパ膜26を形成する。更
に、このSiNエッチングストッパ膜26上に、BPS
G膜からなるにBPSG層間絶縁膜28を形成する。そ
して、CMP法やリフロー法を用いる平坦化プロセスに
よって、このBPSG層間絶縁膜28表面を平坦化する
(図2参照)。
【0057】次いで、表面を平坦化したBPSG層間絶
縁膜28上に、例えば厚さ50nmのTiN膜からなる
TiNカバー膜30を形成する。なお、このTiNカバ
ー膜30の代わりに、Ti膜からなるTiカバー膜又は
ポリシリコン膜からなるポリシリコンカバー膜を用いて
もよい(図3参照)。
【0058】次いで、このTiNカバー膜30上にレジ
ストを塗布した後、通常のフォトリソグラフィ技術を用
いてパターニングして、導電領域22上方に所定の大き
さの開口部32をもつレジストパターン34を形成する
(図4参照)。
【0059】次いで、RIE法を用いて、このレジスト
パターン34をマスクとして、その開口部32内に露出
しているTiNカバー膜30を選択的にエッチングし、
BPSG層間絶縁膜28表面を露出させる。
【0060】なお、このときのTiNカバー膜30のエ
ッチング条件は、例えば エッチング装置:RIE装置 エッチングガスの流量:Cl2 =50sccm ソースパワー:700W RFパワー:300W 圧力:5mToor ウェーハ温度:60℃ とする(図5参照)。
【0061】次いで、再びRIE法を用いて、レジスト
パターン34をマスクとし、その開口部32内に露出し
ているBPSG層間絶縁膜28を選択的にエッチングし
て、SiNエッチングストッパ膜26表面に達するホー
ル36を形成する。
【0062】なお、このときのBPSG層間絶縁膜28
のエッチング条件は、例えば エッチング装置:マグネトロン方式のRIE装置 エッチングガス等の流量:C48 =6sccm O2 =2sccm Ar=500sccm RFパワー:1700W 圧力:7.3Pa ウェーハ温度:20℃ 磁束密度:0.012T とする。
【0063】但し、このBPSG層間絶縁膜28のエッ
チングの際には、エッチングガスやレジストの中のCや
Fを含有するポリマー膜38がホール36内壁に付着す
るという現象が生じる(図6参照)。
【0064】次いで、レジストを除去するための通常の
アッシャを用いて、このホール36内壁に付着している
ポリマー膜38を除去する。こうして、ホール36内に
SiNエッチングストッパ膜26表面を露出させる。な
お、このとき、TiNカバー膜30上のレジストパター
ン34も同時に除去され、TiNカバー膜30が露出す
る(図7参照)。
【0065】次いで、BPSG層間絶縁膜28上のTi
Nカバー膜30をマスクとして、ホール36底面に露出
しているSiNエッチングストッパ膜26を選択的にエ
ッチング除去する。このとき、SiNエッチングストッ
パ膜26のみが選択的にエッチング除去され、TiNカ
バー膜30によって上層を被覆されているBPSG層間
絶縁膜28はエッチングされることはなく、従ってその
膜厚が減少することもない。
【0066】なお、このときのSiNエッチングストッ
パ膜26のエッチング条件は、例えば エッチング装置:マグネトロン方式のRIE装置 エッチングガス等の流量:CHF3 =30sccm CO=170sccm O2 =2sccm RFパワー:1500W 圧力:6.3Pa ウェーハ温度:20℃ 磁束密度:0.012T とする。
【0067】こうして、ホール36底面のSiNエッチ
ングストッパ膜26が除去され、半導体基板10の導電
領域22表面に達するコンタクトホール40が2個のゲ
ート電極20側壁のSiO2 サイドウォール24に規定
されて自己整合的に形成される。
【0068】即ち、このコンタクトホール40底面に露
出する導電領域22は、2個のゲート電極20側壁のS
iO2 サイドウォール24に挟まれた微細なコンタクト
領域であり、その大きさはフォトリソグラフィ技術を用
いて形成したレジストパターン34の開口部32の大き
さよりも小さいものとなる(図8参照)。
【0069】次いで、このコンタクトホール40が形成
されている半導体基体全面に、W層42を堆積し、この
W層42によってコンタクトホール40内を埋め込む。
こうして、コンタクトホール40内にその底面の導電領
域22表面に接続するW層42を充填する(図9参
照)。
【0070】次いで、このW層42及びTiNカバー膜
30をBPSG層間絶縁膜28が露出するまでエッチバ
ック又は研磨する。こうして、TiNカバー膜30をB
PSG層間絶縁膜28上から完全に除去すると共に、コ
ンタクトホール40内のW層42からなるWプラグ層4
4を導電領域22表面に接続させて形成する(図10参
照)。
【0071】次いで、半導体基体全面にAlCu層を堆
積した後、所定の配線パターンに加工して、Wプラグ層
44に接続するAlCu配線層46を形成する。こうし
て、2個のゲート電極20側壁のSiO2 サイドウォー
ル24に挟まれた半導体基板10表面の導電領域22
に、コンタクトホール40内のWプラグ層44を介して
接続するAlCu配線層46を形成する(図11参
照)。
【0072】以上のように本実施形態によれば、2個の
ゲート電極20上面及び側壁のオフセットSiO2 膜1
8及びSiO2 サイドウォール24並びにこれらのSi
2サイドウォール24に挟まれた導電領域22表面を
被覆するSiNエッチングストッパ膜26、BPSG層
間絶縁膜28及びTiNカバー膜30を順に形成し、こ
のTiNカバー膜30上に形成した所定の大きさの開口
部32をもつレジストパターン34をマスクとして、T
iNカバー膜30及びBPSG層間絶縁膜28を順に選
択的にエッチング除去してSiNエッチングストッパ膜
26に達するホール36を形成し、更にBPSG層間絶
縁膜28上のTiNカバー膜30をマスクとして、ホー
ル36底面に露出するSiNエッチングストッパ膜26
を選択的にエッチング除去して2個のゲート電極20側
壁のSiO2 サイドウォール24に挟まれた導電領域2
2に達するコンタクトホール40を形成することによ
り、所定の大きさの開口部32をもつレジストパターン
34を形成する際の解像度や位置合わせの限界に制約さ
れることなく、そのレジストパターン34の開口部32
より狭い微細な領域である2個のゲート電極20側壁の
SiO2 サイドウォール24に挟まれた導電領域22表
面を露出させるコンタクトホール40を自己整合的に形
成することができる。
【0073】そして、レジストパターン34をマスクと
してBPSG層間絶縁膜28を選択的にエッチング除去
してSiNエッチングストッパ膜26に達するホール3
6を形成する際にホール36内壁にポリマー膜38が付
着するが、このポリマー膜38は通常のアッシャを用い
て除去するため、次の工程においてホール36底面に露
出するSiNエッチングストッパ膜26を選択的にエッ
チング除去する際に、このSiNエッチングストッパ膜
26のエッチング除去を容易に且つ安定して行うことが
できる。
【0074】また、ポリマー膜38を除去する際にTi
Nカバー膜30上のレジストパターン34も同時に除去
されるが、SiNエッチングストッパ膜26を選択的に
エッチング除去する際には、BPSG層間絶縁膜28上
層のTiNカバー膜30がマスクとして機能するため、
BPSG層間絶縁膜28が同時にエッチングされること
を防止することができる。
【0075】このため、TiNカバー膜30がない従来
の場合のように、BPSG層間絶縁膜28がエッチング
されて薄膜化し、ゲート電極20と後にBPSG層間絶
縁膜28上に形成する配線層との間にショートが発生し
易くなるといった事態を防止することができる。
【0076】また、TiNカバー膜30には酸素が含有
されていないことから、SiNエッチングストッパ膜2
6をエッチングする際のマスクとなるTiNカバー膜3
0がある程度エッチングされても酸素が発生することは
ないため、TiNカバー膜30がない従来の場合のよう
に、BPSG層間絶縁膜28がエッチングされる際に発
生する酸素の影響によるSiNエッチングストッパ膜2
6のエッチング速度の変動やその均一性の悪化やSiN
エッチングストッパ膜26の下に存在する半導体基板1
0との選択比の低下などを防止して、非常に安定した制
御性のよいSiNエッチングストッパ膜26のエッチン
グを行うことができる。
【0077】従って、従来のようにSiNエッチングス
トッパ膜26のエッチングの際にゲート電極20を被覆
しているオフセットSiO2 膜18及びSiO2 サイド
ウォール24が削られたり導電領域22表面が削られた
りして、ゲート電極20とコンタクトホール40内のW
プラグ層44との間にショートが発生したりコンタクト
特性が劣化したりすることを防止することができる。
【0078】また、BPSG層間絶縁膜28上に形成し
たTiNカバー膜30は、コンタクトホール40内にW
プラグ層44を形成する工程において、W層42のエッ
チバック又は研磨に連続するエッチバック又は研磨によ
って除去するため、TiNカバー膜30を除去するため
の工程を特別に設ける必要がなく、工程の煩雑化を回避
することができる。また、このTiNカバー膜30をエ
ッチバック又は研磨する際に、このエッチバック又は研
磨をBPSG層間絶縁膜28表面が露出した段階で停止
することは従来の技術を用いて容易に可能であるため、
BPSG層間絶縁膜28が削り取られる恐れはなく、所
望の厚さのBPSG層間絶縁膜28を確実に残存させる
ことができる。
【0079】なお、上記実施形態においては、TiNカ
バー膜30を除去する方法として、Wプラグ層44を形
成する工程におけるW層42のエッチバック又は研磨に
連続してTiNカバー膜30をエッチバック又は研磨す
る方法を採っているが(図9及び図10参照)、他の方
法を採用してもよい。
【0080】例えば、図9に示す工程の後、図10に示
す工程の代わりに、半導体基体全面に堆積したW層42
をTiNカバー膜30が露出するまでエッチバック又は
研磨して、コンタクトホール40内のW層42からなる
Wプラグ層44を導電領域22表面に接続させて形成す
る(図12参照)。
【0081】続いて、図11に示す工程の代わりに、半
導体基体全面にAlCu層を堆積した後、このAlCu
層及びその下のTiNカバー膜30を所定の配線パター
ンに加工して、Wプラグ層44に接続するAlCu配線
層46を形成して、2個のゲート電極20側壁のSiO
2 サイドウォール24に挟まれた半導体基板10表面の
導電領域22に、コンタクトホール40内のWプラグ層
44を介して接続するAlCu配線層46を形成する
(図13参照)。
【0082】このように、AlCu配線層46を形成す
る工程において、AlCu層のパターニングと同時にT
iNカバー膜30をパターニングして除去する方法を採
用してもよい。
【0083】この場合も、TiNカバー膜30を除去す
るための工程を特別に設ける必要がなく、工程の煩雑化
を回避することができる。また、AlCu層に連続して
TiNカバー膜30をパターニングする際、下地のBP
SG層間絶縁膜28はTiNカバー膜30に対して十分
に高いエッチング選択比をとることが可能であることか
ら、TiNカバー膜30のエッチングをBPSG層間絶
縁膜28表面が露出した段階で停止することは従来の技
術を用いて容易に可能であるため、BPSG層間絶縁膜
28が削り取られる恐れはなく、所望の厚さのBPSG
層間絶縁膜28を確実に残存させることができる。
【0084】以上、本発明を一実施形態に基づいて説明
したが、当然のことながら本発明は上述の実施形態に限
定されるものでなく、具体的に特定した種々のプロセス
条件は本発明の主旨を逸脱しない範囲で適宜変更するこ
とが可能であることはいうまでもない。
【0085】
【発明の効果】以上、詳細に説明した通り、本発明に係
る半導体装置の製造方法によれば、次のような効果を奏
することができる。即ち、請求項1に係る半導体装置の
製造方法によれば、半導体基板上の段差形状物及びこれ
らの段差形状物に挟まれた半導体基板表面の導電領域を
被覆するエッチングストッパ膜、層間絶縁膜、及びエッ
チングストッパ膜とのエッチング選択比がとれるカバー
膜を順に形成し、このカバー膜上のレジストパターンを
マスクとして、カバー膜及び層間絶縁膜を選択的にエッ
チング除去してエッチングストッパ膜に達するホールを
形成し、更に、層間絶縁膜上層のカバー膜をマスクとし
て、ホール底面に露出するエッチングストッパ膜を選択
的にエッチング除去して段差形状物に挟まれた導電領域
に達するコンタクトホールを形成することにより、層間
絶縁膜をエッチングする際にホール内壁に付着したポリ
マー膜を除去するときに同時にマスクとして使用したレ
ジストパターンが除去されても、ホール底面のエッチン
グストッパ膜をエッチング除去する際には層間絶縁膜上
のカバー膜がマスクとして機能するため、従来のように
エッチングストッパ膜のエッチングの際に同時に層間絶
縁膜もエッチングされて薄膜化することにより上層の配
線と下層の配線との間のショートが起こり易くなる危険
性を防止しつつ、ホール底面のエッチングストッパ膜を
安定して制御性よく除去することが可能になる。従っ
て、段差形状物に挟まれた導電領域がレジストパターン
の開口部より狭いものであっても、レジストパターンを
形成する際の解像度や位置合わせの限界に制約されるこ
となく、微細な導電領域に達するコンタクトホールを再
現性よく自己整合的に形成することができる。
【0086】また、請求項2に係る半導体装置の製造方
法によれば、上記請求項1に係る半導体装置の製造方法
において、半導体基板上の段差形状物に挟まれた導電領
域に達するコンタクトホールを形成した後、このコンタ
クトホールを含む半導体基体全面に導電体層を堆積し、
コンタクトホール内をこの導電体層によって充填した
後、導電体層及びカバー膜を層間絶縁膜表面が露出する
までエッチバック又は研磨して、コンタクトホール底面
の導電領域に接続する導電体層からなるプラグ層を形成
することにより、このプラグ層を形成するための導電体
層のエッチバック又は研磨の際に連続してカバー膜まで
もエッチバック又は研磨することになるため、カバー膜
を除去するための工程を特別に設ける必要がなくなり、
工程の煩雑化を回避することができる。
【0087】また、請求項3に係る半導体装置の製造方
法によれば、上記請求項1に係る半導体装置の製造方法
において、半導体基板上の段差形状物に挟まれた導電領
域に達するコンタクトホールを形成し、このコンタクト
ホール内にその底面の導電領域に接続するプラグ層を形
成し、更に半導体基体全面に第2の導電体層を堆積した
後、この第2の導電体層及びカバー膜を選択的にエッチ
ング除去し、プラグ層に接続する第2の導電体層からな
る配線層を形成することにより、この配線層を形成する
ための第2の導電体層の選択的なエッチングの際に連続
してカバー膜までも選択的にエッチング除去することに
なるため、カバー膜を除去するための工程を特別に設け
る必要がなくなり、工程の煩雑化を回避することができ
る。
【0088】また、請求項4に係る半導体装置の製造方
法によれば、上記請求項1に係る半導体装置の製造方法
において、エッチングストッパ膜としてシリコン窒化膜
を用い、層間絶縁膜としてシリコン酸化膜を用い、カバ
ー膜としてポリシリコン膜を用いることにより、層間絶
縁膜上のカバー膜をマスクとしてホール底面に露出する
エッチングストッパ膜を選択的にエッチング除去する際
に、カバー膜としてのポリシリコン膜がエッチングスト
ッパ膜としてのシリコン窒化膜に対して十分に高いエッ
チング選択比をとることが容易に可能になる。また、こ
のカバー膜をマスクとするエッチングストッパ膜の選択
的なエッチングの際に、カバー膜としてのポリシリコン
膜には酸素が含有されていないことに加え、このカバー
膜に上面を被覆された層間絶縁膜としてのシリコン酸化
膜に対するエッチングが進行しないため、従来のように
シリコン酸化膜のエッチングの際に発生する酸素の影響
によるエッチングストッパ膜のエッチング速度の変動や
その均一性の悪化やエッチングストッパ膜の下に存在す
る半導体基板との選択比の低下などを防止して、非常に
安定した制御性のよいエッチングストッパ膜のエッチン
グを行うことができる。従って、エッチングストッパ膜
のエッチングの際に段差形状物や導電領域表面までも削
られてコンタクト特性が劣化することを防止することが
できる。
【0089】また、請求項5に係る半導体装置の製造方
法によれば、上記請求項1に係る半導体装置の製造方法
において、エッチングストッパ膜としてシリコン窒化膜
を用い、層間絶縁膜としてシリコン酸化膜を用い、カバ
ー膜としてTi膜又はTiN膜を用いることにより、層
間絶縁膜上のカバー膜をマスクとしてホール底面に露出
するエッチングストッパ膜を選択的にエッチング除去す
る際に、カバー膜としてのTi膜又はTiN膜がエッチ
ングストッパ膜としてのシリコン窒化膜に対して十分に
高いエッチング選択比をとることが容易に可能になる。
また、このカバー膜をマスクとするエッチングストッパ
膜の選択的なエッチングの際に、カバー膜としてのTi
膜又はTiN膜には酸素が含有されていないことに加
え、このカバー膜に上面を被覆された層間絶縁膜として
のシリコン酸化膜に対するエッチングが進行しないた
め、従来のようにシリコン酸化膜のエッチングの際に発
生する酸素の影響によってエッチングストッパ膜のエッ
チング速度の変動やその均一性の悪化やエッチングスト
ッパ膜の下に存在する半導体基板との選択比の低下など
の発生を防止して、非常に安定した制御性のよいエッチ
ングストッパ膜のエッチングを行うことができる。従っ
て、エッチングストッパ膜のエッチングの際に段差形状
物や導電領域表面までも削られてコンタクト特性が劣化
することを防止することができる。
【図面の簡単な説明】
【図1】本発明の一実施形態に係るSAC技術を用いる
半導体装置の製造方法を説明するための工程断面図(そ
の1)である。
【図2】本発明の一実施形態に係るSAC技術を用いる
半導体装置の製造方法を説明するための工程断面図(そ
の2)である。
【図3】本発明の一実施形態に係るSAC技術を用いる
半導体装置の製造方法を説明するための工程断面図(そ
の3)である。
【図4】本発明の一実施形態に係るSAC技術を用いる
半導体装置の製造方法を説明するための工程断面図(そ
の4)である。
【図5】本発明の一実施形態に係るSAC技術を用いる
半導体装置の製造方法を説明するための工程断面図(そ
の5)である。
【図6】本発明の一実施形態に係るSAC技術を用いる
半導体装置の製造方法を説明するための工程断面図(そ
の6)である。
【図7】本発明の一実施形態に係るSAC技術を用いる
半導体装置の製造方法を説明するための工程断面図(そ
の7)である。
【図8】本発明の一実施形態に係るSAC技術を用いる
半導体装置の製造方法を説明するための工程断面図(そ
の8)である。
【図9】本発明の一実施形態に係るSAC技術を用いる
半導体装置の製造方法を説明するための工程断面図(そ
の9)である。
【図10】本発明の一実施形態に係るSAC技術を用い
る半導体装置の製造方法を説明するための工程断面図
(その10)である。
【図11】本発明の一実施形態に係るSAC技術を用い
る半導体装置の製造方法を説明するための工程断面図
(その11)である。
【図12】本発明の一実施形態に係るSAC技術を用い
る半導体装置の製造方法の変形例を説明するための工程
断面図(その1)である。
【図13】本発明の一実施形態に係るSAC技術を用い
る半導体装置の製造方法の変形例を説明するための工程
断面図(その2)である。
【図14】従来のSAC技術を用いる半導体装置の製造
方法を説明するための工程断面図(その1)である。
【図15】従来のSAC技術を用いる半導体装置の製造
方法を説明するための工程断面図(その2)である。
【図16】従来のSAC技術を用いる半導体装置の製造
方法を説明するための工程断面図(その3)である。
【図17】従来のSAC技術を用いる半導体装置の製造
方法を説明するための工程断面図(その4)である。
【符号の説明】
10……半導体基板、12……ゲート酸化膜、14……
ポリシリコン層、16……WSi層、18……オフセッ
トSiO2 膜、20……ゲート電極、22……導電領
域、24……SiO2 サイドウォール、26……SiN
エッチングストッパ膜、28……BPSG層間絶縁膜、
30……TiNカバー膜、32……開口部、34……レ
ジストパターン、36……ホール、38……ポリマー
膜、40……コンタクトホール、42……W層、44…
…Wプラグ層、46……AlCu配線層
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4M104 BB01 BB18 CC01 DD04 DD15 DD16 DD18 DD19 EE05 EE09 EE15 EE17 FF14 HH14 5F004 AA05 BA04 BA13 BA20 BD01 DA00 DA04 DA16 DA23 DA26 DB03 DB07 DB12 DB23 EA13 EA23 EA28 EB01 5F033 HH04 HH09 HH19 HH28 JJ19 KK01 MM05 MM07 NN15 NN40 QQ09 QQ10 QQ13 QQ25 QQ27 QQ30 QQ31 QQ35 QQ37 QQ48 QQ75 RR04 RR08 RR14 RR15 TT02 TT08 XX31

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板上に、段差形状物を形成する
    と共に、前記段差形状物に挟まれた前記半導体基板表面
    に導電領域を形成する第1の工程と、 前記段差形状物及び前記導電領域を被覆するエッチング
    ストッパ膜を形成する第2の工程と、 前記エッチングストッパ膜上に、層間絶縁膜を形成した
    後、前記層間絶縁膜表面を平坦化する第3の工程と、 前記層間絶縁膜上に、前記エッチングストッパ膜とのエ
    ッチング選択比がとれるカバー膜を形成する第4の工程
    と、 前記カバー膜上に形成した所定のレジストパターンをマ
    スクとして、前記カバー膜及び前記層間絶縁膜を選択的
    にエッチング除去し、前記エッチングストッパ膜に達す
    るホールを形成する第5の工程と、 前記第5の工程におけるエッチングの際に前記ホール底
    面に付着したポリマー膜を除去する第6の工程と、 前記層間絶縁膜上の前記カバー膜をマスクとして、前記
    ホール底面に露出する前記エッチングストッパ膜を選択
    的にエッチング除去し、前記段差形状物に挟まれた前記
    導電領域に達するコンタクトホールを形成する第7の工
    程と、 を有することを特徴とする半導体装置の製造方法。
  2. 【請求項2】 請求項1記載の半導体装置の製造方法に
    おいて、 前記第7の工程の後、半導体基体全面に導電体層を堆積
    して前記コンタクトホール内を埋め込み、更に前記導電
    体層及び前記カバー膜を前記層間絶縁膜表面が露出する
    までエッチバック又は研磨して、前記コンタクトホール
    内の前記導電領域に接続する前記導電体層からなるプラ
    グ層を形成する工程を有することを特徴とする半導体装
    置の製造方法。
  3. 【請求項3】 請求項1記載の半導体装置の製造方法に
    おいて、 前記第7の工程の後、半導体基体全面に導電体層を堆積
    して前記コンタクトホール内を埋め込み、更に前記第1
    の導電体層を前記カバー膜表面が露出するまでエッチバ
    ック又は研磨して、前記コンタクトホール内の前記導電
    領域に接続する前記第1の導電体層からなるプラグ層を
    形成する工程と、半導体基体全面に第2の導電体層を堆
    積し、更に前記第2の導電体層上に形成した所定のレジ
    ストパターンをマスクとして、前記第2の導電体層及び
    前記カバー膜を選択的にエッチング除去し、前記プラグ
    層に接続する前記第2の導電体層からなる配線層を形成
    する工程と、を有することを特徴とする半導体装置の製
    造方法。
  4. 【請求項4】 請求項1記載の半導体装置の製造方法に
    おいて、 前記エッチングストッパ膜として、シリコン窒化膜を用
    い、 前記層間絶縁膜として、シリコン酸化膜を用い、 前記カバー膜として、ポリシリコン膜を用いることを特
    徴とする半導体装置の製造方法。
  5. 【請求項5】 請求項1記載の半導体装置の製造方法に
    おいて、 前記エッチングストッパ膜として、シリコン窒化膜を用
    い、 前記層間絶縁膜として、シリコン酸化膜を用い、 前記カバー膜として、チタン膜又は窒化チタン膜を用い
    ることを特徴とする半導体装置の製造方法。
JP11114866A 1999-04-22 1999-04-22 半導体装置の製造方法 Pending JP2000307001A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11114866A JP2000307001A (ja) 1999-04-22 1999-04-22 半導体装置の製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11114866A JP2000307001A (ja) 1999-04-22 1999-04-22 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2000307001A true JP2000307001A (ja) 2000-11-02

Family

ID=14648664

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11114866A Pending JP2000307001A (ja) 1999-04-22 1999-04-22 半導体装置の製造方法

Country Status (1)

Country Link
JP (1) JP2000307001A (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003067643A1 (fr) * 2002-02-07 2003-08-14 Tokyo Electron Limited Procédé et appareil de gravure
JP2009514213A (ja) * 2005-10-31 2009-04-02 スパンジョン・リミテッド・ライアビリティ・カンパニー 犠牲マスキング構造を用いた半導体装置の製造方法
JP2015154047A (ja) * 2014-02-19 2015-08-24 東京エレクトロン株式会社 エッチング方法、及びプラズマ処理装置
EP2963677A1 (en) 2014-07-01 2016-01-06 Tokyo Electron Limited Workpiece processing method
EP3046138A1 (en) 2015-01-16 2016-07-20 Tokyo Electron Limited Etching method
EP3046137A1 (en) 2015-01-16 2016-07-20 Tokyo Electron Limited Etching method
EP3046139A1 (en) 2015-01-16 2016-07-20 Tokyo Electron Limited Etching method
EP3062338A1 (en) 2015-02-24 2016-08-31 Tokyo Electron Limited Etching method
KR20170000791A (ko) 2015-06-24 2017-01-03 도쿄엘렉트론가부시키가이샤 에칭 방법
US9679991B2 (en) 2014-07-16 2017-06-13 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device using gate portion as etch mask
KR20170093111A (ko) 2014-12-05 2017-08-14 도쿄엘렉트론가부시키가이샤 에칭 방법
KR20190008226A (ko) 2016-05-19 2019-01-23 도쿄엘렉트론가부시키가이샤 에칭 방법
KR20190008227A (ko) 2016-05-20 2019-01-23 도쿄엘렉트론가부시키가이샤 에칭 방법
KR20190015174A (ko) 2016-05-30 2019-02-13 도쿄엘렉트론가부시키가이샤 에칭 방법

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003067643A1 (fr) * 2002-02-07 2003-08-14 Tokyo Electron Limited Procédé et appareil de gravure
US7189653B2 (en) 2002-02-07 2007-03-13 Tokyo Electron Limited Etching method and etching apparatus
CN100337312C (zh) * 2002-02-07 2007-09-12 东京毅力科创株式会社 蚀刻方法及蚀刻装置
JP2009514213A (ja) * 2005-10-31 2009-04-02 スパンジョン・リミテッド・ライアビリティ・カンパニー 犠牲マスキング構造を用いた半導体装置の製造方法
US9299579B2 (en) 2014-02-19 2016-03-29 Tokyo Electron Limited Etching method and plasma processing apparatus
EP2911186A1 (en) 2014-02-19 2015-08-26 Tokyo Electron Limited Etching method and plasma processing apparatus
JP2015154047A (ja) * 2014-02-19 2015-08-24 東京エレクトロン株式会社 エッチング方法、及びプラズマ処理装置
EP2963677A1 (en) 2014-07-01 2016-01-06 Tokyo Electron Limited Workpiece processing method
KR20160003565A (ko) 2014-07-01 2016-01-11 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
JP2016027594A (ja) * 2014-07-01 2016-02-18 東京エレクトロン株式会社 被処理体を処理する方法
US9330973B2 (en) 2014-07-01 2016-05-03 Tokyo Electron Limited Workpiece processing method
TWI610363B (zh) * 2014-07-01 2018-01-01 東京威力科創股份有限公司 被處理體之處理方法
US9679991B2 (en) 2014-07-16 2017-06-13 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device using gate portion as etch mask
US10090191B2 (en) 2014-12-05 2018-10-02 Tokyo Electron Limited Selective plasma etching method of a first region containing a silicon atom and an oxygen atom
KR20170093111A (ko) 2014-12-05 2017-08-14 도쿄엘렉트론가부시키가이샤 에칭 방법
US9633864B2 (en) 2015-01-16 2017-04-25 Tokyo Electron Limited Etching method
US10580655B2 (en) 2015-01-16 2020-03-03 Tokyo Electron Limited Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
US11264246B2 (en) 2015-01-16 2022-03-01 Tokyo Electron Limited Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
EP3046139A1 (en) 2015-01-16 2016-07-20 Tokyo Electron Limited Etching method
US9754797B2 (en) 2015-01-16 2017-09-05 Tokyo Electron Limited Etching method for selectively etching silicon oxide with respect to silicon nitride
EP3046137A1 (en) 2015-01-16 2016-07-20 Tokyo Electron Limited Etching method
EP3046138A1 (en) 2015-01-16 2016-07-20 Tokyo Electron Limited Etching method
US10109495B2 (en) 2015-01-16 2018-10-23 Tokyo Electron Limited Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
KR20160103531A (ko) 2015-02-24 2016-09-01 도쿄엘렉트론가부시키가이샤 에칭 방법
EP3062338A1 (en) 2015-02-24 2016-08-31 Tokyo Electron Limited Etching method
US11205577B2 (en) 2015-02-24 2021-12-21 Tokyo Electron Limited Method of selectively etching silicon oxide film on substrate
TWI692809B (zh) * 2015-06-24 2020-05-01 日商東京威力科創股份有限公司 蝕刻方法
KR20170000791A (ko) 2015-06-24 2017-01-03 도쿄엘렉트론가부시키가이샤 에칭 방법
US9805945B2 (en) 2015-06-24 2017-10-31 Tokyo Electron Limited Etching method
JP2017011167A (ja) * 2015-06-24 2017-01-12 東京エレクトロン株式会社 エッチング方法
KR102494293B1 (ko) 2015-06-24 2023-01-31 도쿄엘렉트론가부시키가이샤 에칭 방법
KR20190008226A (ko) 2016-05-19 2019-01-23 도쿄엘렉트론가부시키가이샤 에칭 방법
KR20190008227A (ko) 2016-05-20 2019-01-23 도쿄엘렉트론가부시키가이샤 에칭 방법
US10553442B2 (en) 2016-05-20 2020-02-04 Tokyo Electron Limited Etching method
US11462412B2 (en) 2016-05-20 2022-10-04 Tokyo Electron Limited Etching method
KR20190015174A (ko) 2016-05-30 2019-02-13 도쿄엘렉트론가부시키가이샤 에칭 방법
US10658189B2 (en) 2016-05-30 2020-05-19 Tokyo Electron Limited Etching method

Similar Documents

Publication Publication Date Title
US5843839A (en) Formation of a metal via using a raised metal plug structure
JPH09181180A (ja) 半導体集積回路及びその製造方法
JPH05226478A (ja) 半導体構造用のスタッドを形成する方法および半導体デバイス
JP4711658B2 (ja) 微細なパターンを有する半導体装置の製造方法
JPH11135626A (ja) 半導体装置の製造方法
JP2000307001A (ja) 半導体装置の製造方法
JP2001358214A (ja) 半導体装置および半導体装置の製造方法
JPH09205145A (ja) 集積回路及びその製造方法
US5930672A (en) Manufacture of semiconductor device having reliable and fine connection hole
US6278189B1 (en) High density integrated circuits using tapered and self-aligned contacts
US6458284B1 (en) Method of etching and etch mask
JP3312604B2 (ja) 半導体装置の製造方法
US6566236B1 (en) Gate structures with increased etch margin for self-aligned contact and the method of forming the same
JP2000150632A (ja) 半導体装置の製造方法
US20050142830A1 (en) Method for forming a contact of a semiconductor device
JPH06151456A (ja) 半導体装置およびその製造方法
JPH09129730A (ja) 半導体装置の製造方法
JPH09199589A (ja) 配線形成方法
JPH11204636A (ja) 半導体装置の製造方法
JPH07235594A (ja) 半導体装置の製造方法
KR100597090B1 (ko) 반도체 소자의 게이트 전극 형성방법
US5858874A (en) Method of fabricating semiconductor device having step of forming plug in contact hole
KR100506050B1 (ko) 반도체소자의 콘택 형성방법
KR100532393B1 (ko) 다층의 절연막을 동시에 식각하는 공정을 포함하는 반도체 메모리장치의 제조방법
JPH1012868A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060110

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20060110

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080229

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080311

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080701