JPH11135626A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JPH11135626A
JPH11135626A JP9299839A JP29983997A JPH11135626A JP H11135626 A JPH11135626 A JP H11135626A JP 9299839 A JP9299839 A JP 9299839A JP 29983997 A JP29983997 A JP 29983997A JP H11135626 A JPH11135626 A JP H11135626A
Authority
JP
Japan
Prior art keywords
insulating film
forming
photoresist
etching
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9299839A
Other languages
English (en)
Other versions
JP3309783B2 (ja
Inventor
Akira Matsumoto
明 松本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP29983997A priority Critical patent/JP3309783B2/ja
Priority to US09/178,813 priority patent/US6165899A/en
Priority to CN98124336A priority patent/CN1129957C/zh
Priority to KR1019980046339A priority patent/KR100328749B1/ko
Publication of JPH11135626A publication Critical patent/JPH11135626A/ja
Application granted granted Critical
Publication of JP3309783B2 publication Critical patent/JP3309783B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 配線形成の際にコンタクトホールを高いアス
ペクト比でエッチングして寸法制度良く形成し得る半導
体装置の製造方法を提供すること。 【解決手段】 この半導体装置の製造方法では、Si基
板101の拡散層103上に絶縁膜105,106を形
成し、絶縁膜106上でパターニングされたフォトレジ
スト107をマスクとして異方性ドライエッチングによ
り絶縁膜105より絶縁膜106の方がエッチングレー
トが早い条件でコンタクトホール108を開口する。フ
ォトレジスト107を除去した後に基板全面に有機系塗
布膜109を塗布し、この上に形成した第3の絶縁膜1
10上でパターニングされたフォトレジスト111をマ
スクとしてシリコン酸化膜110をエッチングした後、
シリコン酸化膜110をマスクとして有機系塗布膜10
9をエッチングして配線溝112を形成するが、フォト
レジスト111も同時にエッチングされる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、主としてデュアル
ダマシン法によるヴィアホール,コンタクトホール,配
線溝等の形成を要する半導体装置の製造方法に関する。
【0002】
【従来の技術】従来、一般的に半導体装置の製造方法に
おいて配線を形成する場合、配線金属を半導体基板上全
面に形成した後、フォトレジストを用いて配線パターン
を形成し、このフォトレジストをエッチングマスクとし
て配線金属をエッチングする手順で行っている。
【0003】しかしながら、近年の微細化パターンが要
求される半導体装置では、配線金属をエッチングするだ
けでは困難になるため、例えばUSP4944836に
開示されているように、配線パターンが形成される部分
の絶縁膜を溝状にエッチングし、ここに配線金属を埋め
込むことで配線を形成する提案や、或いは更にこの方法
を発展させたものとしてUSP4789648に開示さ
れているように、下層の素子や配線と接続をとるための
ヴィアホールの形成を連続して自己整合的に行い、ヴィ
アホール及び配線溝を同時に金属で埋め込みヴィアプラ
グと配線を同時に形成することにより、工程を短縮し、
且つヴィアと配線の露光時に起こるパターンの目ずれに
よる不良の抑制を可能にした提案(この方法はデュアル
ダマシン法と呼ばれ、今後の半導体装置の製造方法にお
いて重要視される技術となっている)がなされている。
【0004】一方、微細化パターンに伴う別の問題とし
て、隣接する配線間の寄生容量による信号の伝達速度の
低下やクロストークの発生等がある。こうした問題の対
策としては、配線間に低誘電率の絶縁膜を用いる構造と
することが一般的になっている。このような低誘電率の
絶縁膜としては、有機・無機の塗布膜,フッ素を含んだ
シリコン酸化膜,フッ素を含んだ非晶質の炭素膜等が検
討されている。特に有機系の絶縁膜は比誘電率が特に低
く、有望な材料となっている。
【0005】従って、上述したデュアルダマシン法や有
機系絶縁膜の適用は今後の半導体装置の製造分野におい
て不可欠な技術とみなされている。
【0006】図4は、従来の一般的なデュアルダマシン
法を適用した半導体装置の製造工程における中途工程で
の要部構造を側面断面図により示したものである。ここ
では、素子分離領域301が形成されたSi基板300
上に第1のエッチングストッパ302,第1の層間絶縁
膜303,第2のエッチングストッパ304,第2の層
間絶縁膜305,及びフォトレジスト306がこの順で
積層され、これらの積層部にコンタクトホール307が
開口された構造になっている。
【0007】こうした構造を成すためのデュアルダマシ
ン法では、先にコンタクトホール307を開口し、次に
配線溝の露光,エッチングを行う。このとき、コンタク
トホール307のエッチングは第2の層間絶縁膜30
5,第2のエッチングストッパ304,第1の層間絶縁
膜303,及び第1のエッチングストッパ302に関し
て4ステップのエッチングが必要になる。尚、第1のエ
ッチングストッパ302は、図示のように集積度の高い
半導体装置において、素子領域と素子分離領域301に
及んでコンタクトホール307が開口した場合、素子分
離領域301のシリコン酸化膜がエッチングされるのを
防ぐために設けられている。
【0008】例えば層間絶縁膜としてシリコン酸化膜,
エッチングストッパとしてシリコン窒化膜を用いた場
合、シリコン酸化膜のエッチング条件は例えばCHF3
/Ar=20/580sccm,基板温度10℃,バイ
アスパワー25Wとし、シリコン窒化膜のエッチング条
件はCF4 /H2 /Ar=20/20/560scc
m,基板温度10℃,バイアスパワー25Wとして行
う。
【0009】図5は、従来のデュアルダマシン法を適用
した他の半導体装置の製造工程(USP4789648
に開示されたもの)における中途工程での要部構造を側
面断面図により示したものである。ここでは、Si基板
401上に第1のエッチングストッパ402,第1の層
間絶縁膜403,第2のエッチングストッパ404,第
2の層間絶縁膜405が形成されて溝を埋め込んだ反射
防止塗布膜408,及びパターン化されたフォトレジス
ト406がこの順で積層された構造になっている。
【0010】こうした構造を成すためのデュアルダマシ
ン法では、溝の形成を先に行い、微細なパターンを露光
により形成するためにコンタクトホールの露光前に溝を
反射防止塗布膜408に埋め込んで平坦化するもので、
コンタクトホールのエッチングの際にはこの反射防止塗
布膜408をエッチングする。
【0011】図6は、従来のデュアルダマシン法を適用
した別の半導体装置の製造工程(USP4944836
に開示されたもの)における中途工程での要部構造を側
面断面図により示したもので、同図(a)はその一工程
に関するもの,同図(b)はその一工程後の他工程に関
するものである。ここでは、Si基板500上に第1の
エッチングストッパ501,第1の層間絶縁膜502,
パターン化された第2のエッチングストッパ503,第
2の層間絶縁膜504,及びパターン化されたフォトレ
ジスト505がこの順で積層され、配線溝506及びヴ
ィアホール507が形成される構造になっている。
【0012】こうした構造を成すためのデュアルダマシ
ン法では、フォトレジスト505及び第2のエッチング
ストッパ503がパターン化されており、配線溝506
及びヴィアホール507を形成するためのエッチング、
即ち、高アスペクト比のコンタクトエッチングの際にス
テップ数の多いエッチングをする必要がなく、先の2つ
の例に比べれば容易にコンタクトホールを形成できる。
【0013】
【発明が解決しようとする課題】上述した図4に示す一
般的なデュアルダマシン法を適用した半導体装置の製造
方法の場合、シリコン酸化膜やシリコン窒化膜をエッチ
ングする過程で製造上のばらつきを勘案して通常の膜厚
に対してオーバーエッチングをするが、このときに生じ
る横方向へのエッチング、或いはデポの発生によりコン
タクトホールの寸法の制御が非常に困難になっている。
特にこうしたデュアルダマシン法では、コンタクトホー
ルエッチングの際にエッチングされる膜厚は通常の方法
でエッチングされる膜厚に配線部分の絶縁膜の膜厚を加
えたものになるため、非常に高いアスペクト比になり、
微細なコンタクトホールのエッチングは一層困難なもの
になっている。
【0014】又、図5に示すデュアルダマシン法を適用
した他の半導体装置の製造方法の場合、微細なパターン
を露光により形成するためコンタクトホールの露光前に
予め形成した溝を反射防止塗布膜に埋め込んで平坦化す
る必要があるため、コンタクトホールのエッチングに際
して反射防止塗布膜をエッチングする余分な工数がかか
ってしまう上、上述したデュアルダマシン法の場合と同
様な難点がある。
【0015】更に、図6に示すデュアルダマシン法を適
用した別の半導体装置の製造方法の場合、高アスペクト
比のコンタクトエッチングの際にステップ数の多いエッ
チングをする必要がないので、上述した場合に比べれば
容易にコンタクトホールを形成できる長所があるが、そ
の反面、第2のエッチングストッパのエッチングレート
が第1の層間絶縁膜及び第2の層間絶縁膜のエッチング
レートの20分の1以下になる必要があるため、実際に
用いられるシリコン窒化膜,シリコン酸化膜等の材料を
用いると、微細な配線溝を含む配線溝の深さの制御が非
常に困難となり、結果として製造上の余裕が少なく、歩
留まりが大きく低下してしまう。
【0016】本発明は、このような問題点を解決すべく
なされたもので、その技術的課題は、デュアルダマシン
法による配線形成の際にコンタクトホール,ヴィアホー
ル,配線溝を高いアスペクト比でエッチングして寸法制
度良く形成し得る半導体装置の製造方法を提供すること
にある。
【0017】
【課題を解決するための手段】本発明によれば、配線を
含む素子領域を有する半導体基板上に第1の絶縁膜を形
成する工程と、第1の絶縁膜上に第2の絶縁膜を形成す
る工程と、第2の絶縁膜上に第1のフォトレジストを形
成する工程と、露光法により第1のフォトレジストにコ
ンタクトホール用パターンを形成する工程と、第1のフ
ォトレジストをマスクとして第1の絶縁膜及び第2の絶
縁膜を異方的にエッチングして素子領域の電気的導通を
得るコンタクトホールを開口する工程と、第1のフォト
レジストを除去した後にコンタクトホール内部を含む半
導体基板上全面に有機系絶縁膜を形成する工程と、有機
系絶縁膜上に第3の絶縁膜を形成する工程と、第3の絶
縁膜上に第2のフォトレジストを形成する工程と、露光
法により第2のフォトレジストに配線溝用パターンを形
成する工程と、第2のフォトレジストをマスクとして第
3の絶縁膜をエッチングする工程と、第3の絶縁膜をマ
スクとして有機系絶縁膜をエッチングして配線溝を形成
する工程と、コンタクトホールの内部及び配線溝の内部
を含む半導体基板全面に導体材料を形成する工程と、コ
ンタクトホールの内部及び配線溝の内部以外の導体材料
を除去する工程とを有する半導体装置の製造方法が得ら
れる。
【0018】又、本発明によれば、上記半導体装置の製
造方法において、有機系絶縁膜をエッチングして配線溝
を形成する工程では、第2のフォトレジストを同時に除
去する半導体装置の製造方法が得られる。
【0019】更に、本発明によれば、上記半導体装置の
製造方法において、有機系絶縁膜を形成する工程では、
有機系絶縁膜を塗布により形成する半導体装置の製造方
法が得られる。
【0020】加えて、本発明によれば、上記半導体装置
の製造方法において、ヴィアホールを開口する工程で
は、第1の絶縁膜より第2の絶縁膜の方がエッチングレ
ートが早い条件で該第1の絶縁膜が表面に露出するまで
該第2の絶縁膜をエッチングする第1の段階と、第1の
絶縁膜をエッチングする第2の段階とを有する半導体装
置の製造方法が得られる。
【0021】一方、本発明によれば、配線を含む素子領
域を有する半導体基板上に第1の絶縁膜を形成する工程
と、第1の絶縁膜上に第1のフォトレジストを形成する
工程と、露光法により第1のフォトレジストにヴィアホ
ール用パターンを形成する工程と、第1のフォトレジス
トをマスクとして第1の絶縁膜をエッチングして素子領
域の電気的導通を得るヴィアホールを開口する工程と、
第1のフォトレジストを除去した後にヴィアホールの内
部を含む半導体基板上全面に有機系絶縁膜を形成する工
程と、有機系絶縁膜上に第2の絶縁膜を形成する工程
と、第2の絶縁膜上に第2のフォトレジストを形成する
工程と、露光法により第2のフォトレジストに配線溝用
パターンを形成する工程と、第2のフォトレジストをマ
スクとして第2の絶縁膜をエッチングする工程と、第2
の絶縁膜をマスクとして有機系絶縁膜をエッチングして
配線溝を形成する工程と、ヴィアホールの内部及び配線
溝の内部を含む半導体基板全面に導体材料を形成する工
程と、ヴィアホールの内部及び配線溝の内部以外の導体
材料を除去する工程とを有する半導体装置の製造方法が
得られる。
【0022】又、本発明によれば、上記半導体装置の製
造方法において、有機系絶縁膜をエッチングして配線溝
を形成する工程では、第2のフォトレジストを同時に除
去する半導体装置の製造方法が得られる。
【0023】更に、本発明によれば、上記半導体装置の
製造方法において、有機系絶縁膜を形成する工程では、
有機系絶縁膜を塗布により形成する半導体装置の製造方
法が得られる。
【0024】
【発明の実施の形態】以下に実施例を挙げ、本発明の半
導体装置の製造方法について、図面を参照して詳細に説
明する。
【0025】図1(a)〜(i)は、本発明の一実施例
に係る半導体装置の製造方法を適用した製造工程を工程
別に示した側面断面図である。この製造工程では、先ず
図1(a)に示されるように、配線を含む図示されない
素子領域を有する拡散層103,ポリシリコン104,
及び素子分離領域102Si基板101上にエッチング
ストッパとなる第1の絶縁膜としてシリコン窒化膜10
5を化学気相成長法(CVD)により500オングスト
ロームの膜厚で形成し、続いてシリコン窒化膜105上
に第2の絶縁膜としてBPSG膜106をCVD法によ
り2μmの膜厚で形成してからBPSG膜106表面を
化学的機械的研磨法により平坦化して拡散層103上の
合計膜厚が1μmになるようにする。
【0026】次に、図1(b)に示されるように、BP
SG膜106上に通常のフォトレジストを用いた露光法
により第1のフォトレジスト107にコンタクトホール
用パターンを形成した後、パターニングされた第1のフ
ォトレジスト107をマスクとして異方性ドライエッチ
ングによりコンタクトホール108を開口する。
【0027】このコンタクトホール108を開口形成す
るためのエッチングは、図1(c)のようにBPSG膜
106のエッチングレートがシリコン窒化膜105より
高い条件で行ってシリコン窒化膜105表面でストップ
させる第1の段階と、図1(d)に示されるように、第
2のステップとしてシリコン窒化膜105のエッチング
レートがシリコン酸化膜より早い条件でシリコン窒化膜
105をエッチングする第2の段階とに分けられる。
【0028】こうした段階分けを行うことにより、コン
タクトホール108が素子分離領域102にはみ出す部
分があっても、素子分離領域102を構成するシリコン
酸化膜がエッチングされることが無く、素子の性能が損
なわれない。ここでのシリコン酸化膜のエッチング条件
は例えばC4 8 /Ar=20/580sccm,基板
温度10℃,バイアスパワー25Wとし、シリコン窒化
膜のエッチング条件はCF4 /H2 /Ar=20/20
/560sccm,基板温度10℃,バイアスパワー2
5Wとして行う。コンタクトホール108のエッチング
は2段階で済み、しかもアスペクト比も従来の配線をエ
ッチングする場合と同じにしているので、ここでのコン
タクトホール108は寸法精度良く形成される。
【0029】更に、図1(e)に示されるように、第1
のフォトレジスト107を除去した後にコンタクトホー
ル108内部を含むBPSG膜106,シリコン窒化膜
105,拡散層103,及びポリシリコン104の表面
(即ち、半導体基板全面)に例えばベンジシクロブテン
(BCB)等による有機系塗布膜109をコンタクトホ
ール108を含まない部分のBPSG膜106上の膜厚
が0.5μmになるように塗布し、この有機系塗布膜1
09を300℃でベークした後、有機系塗布膜109上
にプラズマCVD法により第3の絶縁膜としてシリコン
酸化膜110を2000オングストロームの膜厚で形成
する。
【0030】引き続き、図1(f)に示されるように、
シリコン酸化膜110上に通常のフォトレジストを用い
た露光法により配線溝用パターンを形成してパターニン
グされた第2のフォトレジスト111を形成した後、図
1(g)に示されるように、第2のフォトレジスト11
1をマスクとしてシリコン酸化膜110をエッチングす
る。このエッチング条件は例えばC4 8 /Ar=20
/580sccm,基板温度10℃,バイアスパワー2
5Wとして行う。
【0031】次に、図1(h)に示されるように、シリ
コン酸化膜110をマスクとして有機系塗布膜109を
エッチングして配線溝112を形成する。このエッチン
グ条件は例えばCl2 /O2 =150/150scc
m,基板温度20℃,バイアスパワー25Wとして行
う。尚、ここでは同じ有機系材料から成る第2のフォト
レジスト111も同時にエッチングされるため、特別に
第2のフォトレジスト111の除去工程は必要無く、有
機系塗布膜109及びシリコン酸化膜のエッチング条件
は大きく異なるため、有機系絶縁膜109のエッチング
レートをシリコン酸化膜の50倍程度にすることができ
る。
【0032】最後に、基板全面に導体材料として図示さ
れないTiN等から成るバリアメタルを膜厚500〜1
000オングストロームで形成した後、図1(i)に示
されるように、コンタクトホール108の内部及び配線
溝112の内部を含む基板全面にCVD法により導体材
料としてタングステン113を形成してからコンタクト
ホール108の内部及び配線溝112の内部以外の導体
材料としてタングステン113及びバリアメタルを化学
的機械的研磨法により取り除いて配線及びコンタクトプ
ラグを形成する。
【0033】図2(a)〜(f)は、本発明の他の実施
例に係る半導体装置の製造方法を適用した製造工程を工
程別に示した側面断面図である。この製造工程では、先
ず図2(a)に示されるように、基板上の層間絶縁膜2
01に形成されたアルミ又はアルミ合金から成るアルミ
配線202上(即ち、配線を含む素子領域を有する半導
体基板上とみなして良い)にCVD法により第1の絶縁
膜として第1のシリコン酸化膜203を膜厚0.8μm
で形成した後、第1のシリコン酸化膜203上に通常の
フォトレジストを用いた露光法によりヴィアホール用パ
ターンを形成してからパターニングされた第1のフォト
レジスト204をマスクとして第1のシリコン酸化膜2
03をエッチングし、異方性ドライエッチングによりヴ
ィアホール205を開口する。エッチング条件はCHF
3 /Ar=20/580sccm,基板温度10℃,バ
イアスパワー25Wとして行う。
【0034】因みに、このでのアルミ配線202の形成
を先の一実施例でタングステン113の代わりにAlを
用いて行ったとすれば、このヴィアホール205のエッ
チングは先の一実施例のシリコン酸化膜110に相当す
る膜をエッチングした時点でストップする。エッチング
条件はCHF3 /Ar=20/580sccm,基板温
度10℃,バイアスパワー25Wとして行う。
【0035】次に、図2(b)に示されるように、第1
のフォトレジスト204を除去した後にヴィアホール2
05内部を含むアルミ配線202及び第1のシリコン酸
化膜203の表面(即ち、半導体基板全面)に例えばB
CBの有機系塗布膜206を第1のシリコン酸化膜20
3上で膜厚0.7μmとなるように塗布し、この有機系
塗布膜206上に第2の絶縁膜として第2のシリコン酸
化膜207をCVD法により形成する。
【0036】更に、図2(c)に示されるように、シリ
コン酸化膜207上に通常のフォトレジストを用いた露
光法により配線溝用パターンを形成してパターニングさ
れた第2のフォトレジスト208を形成した後、図2
(d)に示されるように、第2のフォトレジスト208
をマスクとしてシリコン酸化膜207をエッチングす
る。このエッチング条件は、C4 8 /Ar=20/5
80sccm,基板温度10℃,バイアスパワー25W
として行う。
【0037】引き続き、図2(e)に示されるように、
シリコン酸化膜207をマスクとして有機系塗布膜20
6をエッチングして配線溝を形成する。エッチング条件
はCl2 /O2 =150/150sccm,基板温度2
0℃,バイアスパワー25Wとして行う。尚、ここでは
図示されている第2のフォトレジスト208も同時に除
去される。
【0038】最後に、基板全面に導体材料として図示さ
れないTiN等から成るバリアメタルを膜厚500〜1
000オングストロームで形成した後、図1(f)に示
されるように、ヴィアホールの内部及び配線溝の内部を
含む基板全面にスパッタ法及びリフロー法を組み合わせ
たり、或いはCVD法により導体材料としてアルミ20
9を形成してからヴィアホールの内部及び配線溝の内部
以外の導体材料としてアルミ209及びバリアメタルを
化学的機械的研磨法により取り除いて配線及びヴィアプ
ラグを形成する。
【0039】図3は、図1(a)〜(i)や図2(a)
〜(f)で説明した実施例の製造工程により半導体装置
を作製する際の配線間寄生容量(pF/mm)及び歩留
まり(%)の結果を図4,図6でそれぞれ説明した従来
の場合の比較例1,2と比べて示したものである。図3
からは、実施例の場合には比較例1,2よりも歩留まり
が向上し、しかも配線間寄生容量が20%程度減少して
いることが判る。
【0040】
【発明の効果】以上に説明したように、本発明の半導体
装置の製造方法によれば、従来必要とされた高いアスペ
クト比でステップ数の多いエッチング工程を削減してい
るため、寸法精度良くコンタクトホールやヴィアホール
を開口できると同時に、有機系塗布膜の使用によりマス
クとなるシリコン酸化膜とのエッチングレートの差を大
きくしているので、製造上の余裕が大きくなり、結果と
して歩留まりが大幅に向上すると共に、配線間の寄生容
量を低減化でき、半導体装置の動作速度の高速化を具現
できるようになる。
【図面の簡単な説明】
【図1】(a)〜(i)は、本発明の一実施例に係る半
導体装置の製造方法を適用した製造工程を工程別に示し
た側面断面図である。
【図2】(a)〜(f)は、本発明の他の実施例に係る
半導体装置の製造方法を適用した製造工程を工程別に示
した側面断面図である。
【図3】図1(a)〜(i)や図2(a)〜(f)で説
明した実施例の製造工程により半導体装置を作製する際
の配線間寄生容量及び歩留まりの結果を従来の場合の比
較例と比べて示したものである。
【図4】従来の一般的なデュアルダマシン法を適用した
半導体装置の製造工程における中途工程での要部構造を
側面断面図により示したものである。
【図5】従来のデュアルダマシン法を適用した他の半導
体装置の製造工程における中途工程での要部構造を側面
断面図により示したものである。
【図6】従来のデュアルダマシン法を適用した別の半導
体装置の製造工程における中途工程での要部構造を側面
断面図により示したもので、(a)はその一工程に関す
るもの,(b)はその一工程後の他工程に関するもので
ある。
【符号の説明】
101,300,401,500 Si基板 102,301 素子分離領域 103 拡散層 104 ポリシリコン 105 シリコン窒化膜 106 BPSG膜 107,111,204,208,306,406 フ
ォトレジスト 108,207,307 コンタクトホール 109 有機系塗布膜 110,203 シリコン酸化膜 112 配線溝 113 タングステン 201,303,305,403,405,502,5
04 層間絶縁膜 202 アルミ配線 205 ヴィアホール 206 有機系塗布膜 209 アルミ 302,304,402,404,501,503 エ
ッチングストッパ 408 反射防止塗布膜

Claims (7)

    【特許請求の範囲】
  1. 【請求項1】 配線を含む素子領域を有する半導体基板
    上に第1の絶縁膜を形成する工程と、前記第1の絶縁膜
    上に第2の絶縁膜を形成する工程と、前記第2の絶縁膜
    上に第1のフォトレジストを形成する工程と、露光法に
    より前記第1のフォトレジストにコンタクトホール用パ
    ターンを形成する工程と、前記第1のフォトレジストを
    マスクとして前記第1の絶縁膜及び前記第2の絶縁膜を
    異方的にエッチングして前記素子領域の電気的導通を得
    るコンタクトホールを開口する工程と、前記第1のフォ
    トレジストを除去した後に前記コンタクトホール内部を
    含む前記半導体基板上全面に有機系絶縁膜を形成する工
    程と、前記有機系絶縁膜上に第3の絶縁膜を形成する工
    程と、前記第3の絶縁膜上に第2のフォトレジストを形
    成する工程と、露光法により前記第2のフォトレジスト
    に配線溝用パターンを形成する工程と、前記第2のフォ
    トレジストをマスクとして前記第3の絶縁膜をエッチン
    グする工程と、前記第3の絶縁膜をマスクとして前記有
    機系絶縁膜をエッチングして配線溝を形成する工程と、
    前記コンタクトホールの内部及び前記配線溝の内部を含
    む前記半導体基板全面に導体材料を形成する工程と、前
    記コンタクトホールの内部及び前記配線溝の内部以外の
    前記導体材料を除去する工程とを有することを特徴とす
    る半導体装置の製造方法。
  2. 【請求項2】 請求項1記載の半導体装置の製造方法に
    おいて、前記有機系絶縁膜をエッチングして配線溝を形
    成する工程では、前記第2のフォトレジストを同時に除
    去することを特徴とする半導体装置の製造方法。
  3. 【請求項3】 請求項1記載の半導体装置の製造方法に
    おいて、前記有機系絶縁膜を形成する工程では、前記有
    機系絶縁膜を塗布により形成すること特徴とする半導体
    装置の製造方法。
  4. 【請求項4】 請求項1記載の半導体装置の製造方法に
    おいて、前記ヴィアホールを開口する工程では、前記第
    1の絶縁膜より前記第2の絶縁膜の方がエッチングレー
    トが早い条件で該第1の絶縁膜が表面に露出するまで該
    第2の絶縁膜をエッチングする第1の段階と、前記第1
    の絶縁膜をエッチングする第2の段階とを有することを
    特徴とする半導体装置の製造方法。
  5. 【請求項5】 配線を含む素子領域を有する半導体基板
    上に第1の絶縁膜を形成する工程と、前記第1の絶縁膜
    上に第1のフォトレジストを形成する工程と、露光法に
    より前記第1のフォトレジストにヴィアホール用パター
    ンを形成する工程と、前記第1のフォトレジストをマス
    クとして前記第1の絶縁膜をエッチングして前記素子領
    域の電気的導通を得るヴィアホールを開口する工程と、
    前記第1のフォトレジストを除去した後に前記ヴィアホ
    ールの内部を含む前記半導体基板上全面に有機系絶縁膜
    を形成する工程と、前記有機系絶縁膜上に第2の絶縁膜
    を形成する工程と、前記第2の絶縁膜上に第2のフォト
    レジストを形成する工程と、露光法により前記第2のフ
    ォトレジストに配線溝用パターンを形成する工程と、前
    記第2のフォトレジストをマスクとして前記第2の絶縁
    膜をエッチングする工程と、前記第2の絶縁膜をマスク
    として前記有機系絶縁膜をエッチングして配線溝を形成
    する工程と、前記ヴィアホールの内部及び前記配線溝の
    内部を含む前記半導体基板全面に導体材料を形成する工
    程と、前記ヴィアホールの内部及び前記配線溝の内部以
    外の前記導体材料を除去する工程とを有することを特徴
    とする半導体装置の製造方法。
  6. 【請求項6】 請求項5記載の半導体装置の製造方法に
    おいて、前記有機系絶縁膜をエッチングして配線溝を形
    成する工程では、前記第2のフォトレジストを同時に除
    去することを特徴とする半導体装置の製造方法。
  7. 【請求項7】 請求項5記載の半導体装置の製造方法に
    おいて、前記有機系絶縁膜を形成する工程では、前記有
    機系絶縁膜を塗布により形成すること特徴とする半導体
    装置の製造方法。
JP29983997A 1997-10-31 1997-10-31 半導体装置の製造方法 Expired - Fee Related JP3309783B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP29983997A JP3309783B2 (ja) 1997-10-31 1997-10-31 半導体装置の製造方法
US09/178,813 US6165899A (en) 1997-10-31 1998-10-26 Method for manufacturing semiconductor devices having dual damascene structure
CN98124336A CN1129957C (zh) 1997-10-31 1998-10-29 一种用于生产具有双重波纹结构的半导体器件的方法
KR1019980046339A KR100328749B1 (ko) 1997-10-31 1998-10-30 듀얼다마신구조를갖는반도체장치제조방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP29983997A JP3309783B2 (ja) 1997-10-31 1997-10-31 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH11135626A true JPH11135626A (ja) 1999-05-21
JP3309783B2 JP3309783B2 (ja) 2002-07-29

Family

ID=17877559

Family Applications (1)

Application Number Title Priority Date Filing Date
JP29983997A Expired - Fee Related JP3309783B2 (ja) 1997-10-31 1997-10-31 半導体装置の製造方法

Country Status (4)

Country Link
US (1) US6165899A (ja)
JP (1) JP3309783B2 (ja)
KR (1) KR100328749B1 (ja)
CN (1) CN1129957C (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002522923A (ja) * 1998-08-12 2002-07-23 アプライド マテリアルズ インコーポレイテッド エッチング特性が異なる誘電体層を用いてデュアルダマシンにより形成される配線

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3469771B2 (ja) * 1998-03-24 2003-11-25 富士通株式会社 半導体装置およびその製造方法
KR100267108B1 (ko) * 1998-09-16 2000-10-02 윤종용 다층배선을구비한반도체소자및그제조방법
US6936531B2 (en) 1998-12-21 2005-08-30 Megic Corporation Process of fabricating a chip structure
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
JP3436221B2 (ja) * 1999-03-15 2003-08-11 ソニー株式会社 半導体装置の製造方法
WO2000067324A1 (fr) * 1999-04-30 2000-11-09 Hitachi, Ltd. Circuit integre, son procede de fabrication, et procede de production d'un dessin de masque
KR100708491B1 (ko) 1999-08-26 2007-04-16 브레우어 사이언스 인코포레이션 듀얼 다마신 공정을 위한 개선된 충전 조성물을 포함하는 기판구조체, 충전조성물의 도포방법, 충전조성물의 적합성 결정방법, 및 전구체 구조체
US20040034134A1 (en) * 1999-08-26 2004-02-19 Lamb James E. Crosslinkable fill compositions for uniformly protecting via and contact holes
JP2001203263A (ja) * 2000-01-20 2001-07-27 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
US6261960B1 (en) * 2000-04-06 2001-07-17 Advanced Micro Devices, Inc High density contacts having rectangular cross-section for dual damascene applications
JP3628936B2 (ja) * 2000-05-11 2005-03-16 日本テキサス・インスツルメンツ株式会社 フォトダイオードの製造方法
US6576550B1 (en) * 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
US6372635B1 (en) * 2001-02-06 2002-04-16 Advanced Micro Devices, Inc. Method for making a slot via filled dual damascene low k interconnect structure without middle stop layer
US7932603B2 (en) 2001-12-13 2011-04-26 Megica Corporation Chip structure and process for forming the same
US7015135B2 (en) * 2002-12-10 2006-03-21 Advanced Micro Devices, Inc. Method and system for reducing contact defects using non conventional contact formation method for semiconductor cells
US20050170643A1 (en) * 2004-01-29 2005-08-04 Semiconductor Energy Laboratory Co., Ltd. Forming method of contact hole, and manufacturing method of semiconductor device, liquid crystal display device and EL display device
JP2006351862A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
US20070269975A1 (en) * 2006-05-18 2007-11-22 Savas Stephen E System and method for removal of photoresist and stop layer following contact dielectric etch
US7759241B2 (en) * 2006-09-15 2010-07-20 Intel Corporation Group II element alloys for protecting metal interconnects
CN104698630B (zh) * 2015-03-30 2017-12-08 合肥京东方光电科技有限公司 阵列基板及显示装置
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
CN108690949B (zh) * 2017-04-06 2020-05-22 昆山工研院新型平板显示技术中心有限公司 一种掩膜板及其制备方法以及蒸镀方法
JP2020136473A (ja) 2019-02-19 2020-08-31 株式会社東芝 半導体装置の製造方法
KR20220025394A (ko) 2020-08-24 2022-03-03 삼성전자주식회사 배선 구조체 및 이를 포함하는 반도체 패키지

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US5559055A (en) * 1994-12-21 1996-09-24 Advanced Micro Devices, Inc. Method of decreased interlayer dielectric constant in a multilayer interconnect structure to increase device speed performance
US5705430A (en) * 1995-06-07 1998-01-06 Advanced Micro Devices, Inc. Dual damascene with a sacrificial via fill
JP3469976B2 (ja) * 1995-10-19 2003-11-25 三菱電機株式会社 多層配線の形成方法
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002522923A (ja) * 1998-08-12 2002-07-23 アプライド マテリアルズ インコーポレイテッド エッチング特性が異なる誘電体層を用いてデュアルダマシンにより形成される配線

Also Published As

Publication number Publication date
CN1129957C (zh) 2003-12-03
KR19990037532A (ko) 1999-05-25
JP3309783B2 (ja) 2002-07-29
US6165899A (en) 2000-12-26
KR100328749B1 (ko) 2002-07-18
CN1216397A (zh) 1999-05-12

Similar Documents

Publication Publication Date Title
JP3309783B2 (ja) 半導体装置の製造方法
JP2001156170A (ja) 多層配線の製造方法
JP3700460B2 (ja) 半導体装置およびその製造方法
JP3214475B2 (ja) デュアルダマシン配線の形成方法
US6350682B1 (en) Method of fabricating dual damascene structure using a hard mask
US6278189B1 (en) High density integrated circuits using tapered and self-aligned contacts
JP3312604B2 (ja) 半導体装置の製造方法
JP4226699B2 (ja) 半導体装置の製造方法
US6399483B1 (en) Method for improving faceting effect in dual damascene process
JP2000307001A (ja) 半導体装置の製造方法
US5966632A (en) Method of forming borderless metal to contact structure
JP3000935B2 (ja) 半導体装置の製造方法
JPH10116904A (ja) 半導体装置の製造方法
US6815337B1 (en) Method to improve borderless metal line process window for sub-micron designs
JP3317279B2 (ja) 半導体装置の製造方法
JP2888213B2 (ja) 半導体装置の製造方法
JPH09120990A (ja) 接続孔の形成方法
KR100997776B1 (ko) 반도체 소자의 제조방법
US6340638B1 (en) Method for forming a passivation layer on copper conductive elements
JP3301466B2 (ja) 半導体装置の製造方法
KR100935188B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP3196847B2 (ja) 配線構造及びその製造方法
KR100340860B1 (ko) 반도체 소자의 콘택 플러그 제조 방법
KR100668726B1 (ko) 반도체 소자의 비트라인 콘택 형성방법
KR20000056260A (ko) 반도체 장치의 콘택 형성 방법

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20011205

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20020423

LAPS Cancellation because of no payment of annual fees