JPH05226478A - 半導体構造用のスタッドを形成する方法および半導体デバイス - Google Patents

半導体構造用のスタッドを形成する方法および半導体デバイス

Info

Publication number
JPH05226478A
JPH05226478A JP4257969A JP25796992A JPH05226478A JP H05226478 A JPH05226478 A JP H05226478A JP 4257969 A JP4257969 A JP 4257969A JP 25796992 A JP25796992 A JP 25796992A JP H05226478 A JPH05226478 A JP H05226478A
Authority
JP
Japan
Prior art keywords
semiconductor
semiconductor structure
sidewall
contact
stud
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP4257969A
Other languages
English (en)
Other versions
JP2505961B2 (ja
Inventor
Donna R Cote
ドナ・リゾン・コート
David Stanasolovich
デビッド・スタノソロビッチ
Ronald A Warren
ロナルド・アーチャー・ワレン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH05226478A publication Critical patent/JPH05226478A/ja
Application granted granted Critical
Publication of JP2505961B2 publication Critical patent/JP2505961B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【目的】 半導体基板上の構造の側壁に沿って接触スタ
ッドを自動位置合せさせる製造法を提供する。 【構成】 この発明によれば、側壁(21、22、2
4、26)を含む位置合せ構造と、半導体構造(18)
とをその上に形成される半導体基板(12)を提供する
ステップと、半導体構造および位置合せ構造の側壁に隣
接する側壁スペーサ(28、30)を形成するステップ
と、半導体構造を絶縁するため側壁スペーサと隣接する
絶縁層(34)を付着するステップと、接触窓開口部
(40)を形成して半導体構造(18)へのアクセスを
可能にするため、位置合せ構造の側壁、半導体構造およ
び絶縁層に対して選択的に側壁スペーサをエッチングす
るステップと、半導体構造(18)に接触してスタッド
(42)を形成するため、接触窓開口部(40)を導通
性材料で埋め戻すステップとによって、半導体構造用の
スタッドが製造される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は全般的には半導体デバイ
スに関し、具体的には、半導体デバイスまたはその構造
をもう1つの電子デバイスまたは電子部品に接続するの
に使用される半導体デバイスまたは半導体構造用のスタ
ッドの構造と製造に関する。
【0002】
【従来の技術】半導体製造技術では回路密度を向上さ
せ、半導体構造をさらに微細化する傾向が続いている。
この文脈で言う半導体構造とは、半導体基板の中または
上に成長、形成、拡散、打込み、付着などを行うことの
できる、半導体基板の領域、デバイス、構成部品または
要素と定義される。たとえば、今日の高速半導体トラン
ジスタ・デバイス内のゲート導体は、幅0.4×10-6mま
で小型化されており、この幅は、さらに0.1×10-6mま
で縮小されるであろうと予想されている。
【0003】スタッドとは、半導体デバイスの構造また
は要素に接触し、そのデバイスをもう1つの半導体構造
または電子デバイスに接続できるようにする導電性の要
素である。半導体構造の寸法が小さくなるにつれて、構
造に適切に接触するようスタッドを形成するのに使用で
きる面積も小さくなる。したがって、微細化された半導
体構造に接触するよう適切にスタッドを形成し位置合せ
するためには、高い精度が要求される。言い換えるなら
ば、半導体構造の微細化が進展すると、そのような構造
用の接触スタッドを製造する時の位置ずれ許容範囲が狭
くなるという問題が生じる。
【0004】さらに、接触スタッドと半導体構造の間の
接触抵抗を最小にすることが、速度の向上と回路性能の
最適化のために重要である。これに関連して、接触スタ
ッドは通常、半導体構造の頂面に接触するよう製造され
る。したがって、スタッドが構造に接触するのに使用で
きる面積は、構造の頂面の幅によって定められ、これに
制限される。
【0005】回路配置密度をさらに増加させるには、通
常は構造の高さを大きく減少させる必要はないが、構造
の全体幅をかなり減少させる必要がある。言い換える
と、回路配置密度を増加させるために、構造の縦横比
(高さ対幅の比)を減少させる。したがって、スタッド
が構造に接触するのに使用できる構造の頂部の幅の面積
も減少する。残念ながら、スタッドと構造の間の接触面
積は、その間の接触抵抗の量を制御するものであり、接
触面積が減少すると接触抵抗が増大することになり望ま
しくない。
【0006】
【発明が解決しようとする課題】したがって、本発明の
目的は、回路密度をさらに増大させ、半導体デバイスの
さらなる微細化を可能にすることである。
【0007】本発明のもう1つの目的は、半導体構造用
の接触スタッドを正確かつ適切に形成し位置合せするこ
とのできる製造法を提供することである。
【0008】本発明のもう1つの目的は、接触スタッド
と半導体構造の間の接触領域を増大させることによっ
て、接触抵抗を最小にすることである。
【0009】本発明のもう1つの目的は、構造の側壁に
沿ってスタッドを接触させることによって、接触スタッ
ドと半導体構造の間の接触領域を増大させることであ
る。
【0010】本発明のもう1つの目的は、半導体基板上
の構造の側壁に沿って接触スタッドを自動位置合せさせ
る製造法を提供することである。
【0011】
【課題を解決するための手段】本発明の上記および他の
目的を達成するため、半導体構造用のスタッドを製造す
る方法は、側壁を含む位置合せ構造および半導体構造と
をその上に形成された半導体基板を設けるステップと、
半導体構造が位置合せ構造の側壁に隣接する側壁スペー
サを、側壁スペーサが位置合せ構造と実質的に同一の高
さである状態で形成するステップと、半導体構造を絶縁
するため側壁スペーサと隣接する絶縁層を、絶縁層が側
壁スペーサと実質的に同一の高さである状態で付着する
ステップと、接触窓開口を形成して半導体構造へのアク
セスを可能にするため、位置合せ構造の側壁、半導体構
造および絶縁層に対して選択的に側壁スペーサをエッチ
ングするステップと、半導体構造に接触してスタッドを
形成するため、接触窓開口を導電性材料で埋め戻すステ
ップを含む。
【0012】
【実施例】以下の説明では、ゲート導体および拡散領域
用のスタッドの構造と形成に言及するが、本発明は半導
体デバイスに必要となるすべての構造または領域に接触
するスタッドの形成を意図したものである。さらに、本
明細書では、酸化物キャップ、ゲート導体およびスペー
サを、スタッドの位置合せに使用する位置合せ構造と呼
ぶが、スタッドをウェハ上に存在する任意の構造に対し
て位置合せできることを完全に理解されたい。
【0013】図1ないし図7は、スタッド位置合せ対象
の位置合せ構造としてゲート導体と酸化物キャップを使
用して、ゲート導体用のスタッドを形成することを示す
図である。有利なことに、ゲート導体に対するスタッド
の接触領域は、実質的にゲート導体の側壁に沿ってい
る。
【0014】まず図1を参照すると、拡散領域14、1
5を有する基板12と、ゲート酸化物16と、側壁2
4、26を有し適当な金属(ポリシリコン、ケイ化チタ
ンなど)からなるゲート電極またはゲート導体18と、
側壁21、22を有する酸化物キャップ20と、誘電層
23とを有するシリコン・ウェハ10の一部が示されて
いる。
【0015】拡散領域14、15、ゲート酸化物16、
ゲート導体18および酸化物キャップ20は、付着、拡
散、打込み、フォトリソグラフィおよびエッチングなど
の従来のプロセスを使用して形成されパターニングされ
る。
【0016】誘電層23は化学気相成長法などによっ
て、拡散領域14、15、ゲート導体18の側壁24、
26および酸化物キャップ20を被覆するように付着さ
れる。次に、誘電層23を拡散領域14、15と酸化物
キャップ20の頂面に対して選択的にエッチングし、酸
化物キャップ20の側壁21、22に隣接する誘電体
と、ゲート導体18の側壁24、26に隣接する誘電体
だけが、エッチングされずに残るようにする。こうして
残された誘電体は、図2に示されるように、側壁スペー
サ28、30を形成する。
【0017】誘電層23の寸法が側壁スペーサ28、3
0の寸法を決定し、側壁スペーサ28、30の寸法がゲ
ート導体18に接触するよう形成されるスタッドの寸法
を決定することに留意されたい。したがって、誘電層2
3を付着する時点で、スタッドに必要な寸法を考慮して
おかなければならない。
【0018】この例では、以下に示す理由から、側壁ス
ペーサ28、30を形成する誘電層23を拡散領域1
4、15および酸化物キャップ20に対して選択的にエ
ッチングできるだけではなく、誘電層23と結果の側壁
スペーサ28、30を、ゲート導体18に対して選択的
にエッチングできるものである。さらに、酸化物を誘電
層23に対して選択的に研磨することができる。チッ化
ホウ素(BN)、チッ化シリコン、チッ化シリコンホウ
素およびチッ化炭素ホウ素が、このような選択性を有す
る誘電材の例である。
【0019】誘電層23は実質的に均一の厚さになるま
で付着され、誘電層23のエッチングに使用するエッチ
ング技法は、方向性または異方性であることが好まし
い。実例を挙げると、実質的に均一な層のBNを誘電層
23として使用して側壁スペーサ28、30を形成する
場合には、おおむね下記のパラメータ群を使用して反応
性イオン・エッチング(RIE)技法を用いると、拡散
領域14、15と酸化物キャップ20に対する適当な異
方性とエッチング選択性とがもたらされる。 − O2中に10%のCF4またはO2中に12%のCH
3 − 80mTORRの圧力 − 400Wの電力 − 20Gaussの磁界
【0020】任意選択として、ケイ化接合層32、33
を拡散領域14、15に形成して、これらの領域の電気
伝導度を高める。ケイ化接合層32、33は既知の製造
技法を使用して形成され、パターニングされる。ケイ化
接合層32、33は誘電層23の付着の後に拡散領域1
4、15に形成されるものとして図示されているが、ケ
イ化接合層32、33を誘電層23の付着の前に拡散領
域14、15に形成することも可能であることを理解さ
れたい。
【0021】次に、図3に示すように、酸化物層などの
絶縁層34を化学気相成長法などによって、側壁スペー
サ28、30に隣接し、拡散領域14、15を被覆し絶
縁するように付着する。その後、絶縁層34を平面化ま
たは研磨し、絶縁層34の頂部が側壁スペーサ28、3
0の頂部と実質的に同一平面になるようにする、すなわ
ち、絶縁層34は側壁スペーサ28、30と実質的に同
一の高さになるまで研磨される。研磨とは製造中に材料
を平面化する方法の1つであることを理解されたい。
【0022】酸化物の研磨は側壁スペーサ28、30の
形成に使用される誘電材に対して選択的に行われる、す
なわち、側壁スペーサ28、30は酸化物より遅い速度
で研磨されるので、側壁スペーサ28、30は、研磨ス
テップの間に「止め(ストップ)」として機能する。絶
縁層34として酸化物を使用するのは、例示目的にすぎ
ず、したがって、側壁スペーサ28、30に使用される
誘電材より早く研磨される他の誘電材を酸化物の代わり
に使用できることを理解されたい。たとえば、燐珪酸ガ
ラスまたは硼燐珪酸ガラスなどのドーピングされた酸化
物を使用することも可能である。
【0023】任意選択として、酸化物キャップ20の頂
部が側壁スペーサ28、30の頂部と実質的に同一平面
であるから、酸化物キャップ20の頂部を側壁スペーサ
28、30の代わりに研磨止めとして使用できる。この
ような実施例では、シリコン窒化物などの酸化物に対し
て研磨選択性を有する材料の薄い層を、酸化物キャップ
20の頂部に付着する。このような層は研磨ステップの
後に除去しなければならないものである。しかしなが
ら、材料の付加的な層を追加して、研磨止として働か
せ、研磨ステップの後に除去する必要がないので、側壁
スペーサ28、30を研磨止として使用することが好ま
しい。言い換えると、絶縁層34を研磨する時に側壁ス
ペーサ28、30を研磨止めとして使用することは、必
要なステップ数の削減が実現されるので、酸化物キャッ
プ20を使用することより望ましい。
【0024】次に、フォトレジストの層を、研磨された
絶縁層34の上に塗布する。このフォトレジスト層は、
図4に示されるように、標準的なフォトリソグラフィ技
術の露光と現像を使用して、フォトレジスト・ブロック
アウト・マスク36を形成するようにパターニングされ
る。フォトレジスト・ブロックアウト・マスク36は側
壁スペーサ30を被覆またはブロック・アウトするが、
側壁スペーサ28を露出したままにする。以下でさらに
説明するように、側壁スペーサ28はゲート導体接触窓
を形成するためのエッチングのために露出される。図4
では、側壁スペーサ28が露出されているが、側壁スペ
ーサ28と側壁スペーサ30のいずれを露出するかの選
択は、製造中の半導体デバイスの要件に依存する。とい
うのは、側壁スペーサ28と側壁スペーサ30のいずれ
か一方をエッチングして、ゲート導体接触窓を形成する
ことができるからである。しかしながら、ゲート導体接
触窓の形成に使用されず、その結果、エッチングされず
に残される側壁スペーサを被覆するように、フォトレジ
スト・ブロックアウト・マスク36をパターニングする
ことが重要である。
【0025】さらに、フォトレジスト・ブロックアウト
・マスク36が、ゲート導体接触窓の形成に使用される
側壁スペーサ28に隣接する絶縁層34または酸化物キ
ャップ20を被覆しないことに留意されたい。側壁スペ
ーサ28が酸化物に対して選択的にエッチングされるの
で、側壁スペーサ28に隣接する絶縁層34または酸化
物キャップ20を、フォトレジスト・ブロックアウト・
マスク36によって被覆する必要がない。したがって、
側壁スペーサ28はエッチングの間に除去され、酸化物
キャップ20と絶縁層34はエッチングされずに残る。
したがって、側壁スペーサ28をエッチングするために
フォトレジスト・ブロックアウト・マスク36を形成す
る時には、高い精度は要求されない。
【0026】その後、側壁スペーサ28は酸化物キャッ
プ20、絶縁層34およびゲート導体18に対して選択
的にエッチングされる。側壁スペーサ28に使用される
材料の例として、やはりBNを使用すると、前述のパラ
メータ群を使用するRIE法によって、必要な選択性が
得られる。
【0027】図5に示されるように、側壁スペーサ28
の残存部分38が残され、したがって、ゲート導体接触
開口またはゲート導体接触窓40が形成されるまで、側
壁スペーサ28はエッチングされる。このゲート導体接
触窓40は絶縁層34、酸化物キャップ20の側壁2
1、ゲート導体18の側壁24、および残存部分38に
よって画定される境界を有する空隙である。したがっ
て、側壁スペーサ28がエッチング選択性を有すること
が、ゲート導体接触窓40の形成を、ゲート導体18の
側壁24と酸化物キャップ20の側壁21に対して「自
動位置合せ(自己整合)式」にする。さらに、側壁スペ
ーサ28はゲート導体接触窓40の形成のためエッチン
グされ除去されるという点で、少なくとも部分的には
「犠牲の」構造として機能する。
【0028】残存部分38はゲート導体接触窓40をス
タッド材料で埋め戻す時に、ゲート導体18がケイ化接
合層32を介して拡散領域14に短絡しないようにす
る。実例を挙げると、残存部分38の高さの測定値は、
約750Åないし1250Åであるが、残存部分38は
ゲート導体18が拡散領域14に短絡しないようにする
高さであれば、どのような高さにしてもよい。
【0029】その後、フォトレジスト・ブロックアウト
・マスク36を、標準的な技法を使用して除去し、シリ
コン・ウェハ10を、たとえばフッ化水素酸洗浄法を使
用して洗浄する。その結果を図6に示す。
【0030】必要があれば、ゲート導体接触窓40をス
タッド材料で埋め戻す前に、ライナ(図示せず)を、ゲ
ート導体接触窓40をライニングするように付着するこ
とが可能である。このライナはスタッドとゲート導体1
8の間の接触抵抗を低下させ、ゲート導体18と絶縁層
34に対するスタッドの付着力を高める。たとえば、こ
のライナは、チタン、チッ化チタンまたは他の類似の導
電性材料を含むことができる。
【0031】次に、ゲート導体接触窓40を、たとえば
チタン、チッ化チタン、タングステン、または他の適当
な冶金などの適当なスタッド材料で埋め戻す。図7に示
すように、その後、このスタッド材料を研磨して、露出
面44を含むゲート導体接触スタッド42を形成する。
この露出面44は他の電子デバイスに接続するための電
気接点として働く。したがって、ゲート導体接触スタッ
ド42の境界は、残存部分38、ゲート導体18の側壁
24、酸化物キャップ20の側壁21、および絶縁層3
4によって画定される。また、ゲート導体接触スタッド
42は、酸化物キャップ20とゲート導体18に対して
「自動位置合せ式」である。
【0032】したがって、ゲート導体接触スタッド42
がゲート導体18に接触する領域は、実質的にゲート導
体18の側壁24全体に沿っている。すなわち、接触領
域は残存部分38の頂部からゲート導体18の頂部まで
延びている。有利なことに、ゲート導体18の頂部では
なく側壁24に沿ってゲート導体18に接触すると、ゲ
ート導体接触スタッド42とゲート導体18の間の接触
面積が増加し、したがって、その間の接触抵抗が最小に
なる。
【0033】図8ないし図15は、拡散領域用のスタッ
ドを形成するための位置合せ構造としてスペーサを使用
する例を示す図である。
【0034】ここで図8を参照すると、拡散領域48、
49を有する基板46と、ゲート酸化物50と、側壁5
4、56を有し、適当な材料(ポリシリコン、ケイ化チ
タンなど)からなるゲート導体52と、側壁60、62
を有する酸化物キャップ58と、誘電性材料からなる第
1層66とを有するシリコン・ウェハ45の一部が示さ
れている。
【0035】拡散領域48、49、ゲート酸化物50、
ゲート導体52および酸化物キャップ58は、従来式の
拡散、付着およびフォトリソグラフィのプロセスを使用
して、形成されパターニングされる。その後、拡散領域
48、49と、ゲート導体52の側壁54、56と、酸
化物キャップ58とを被覆するように、第1層66を付
着する。その後、第1層66を拡散領域48、49と酸
化物キャップ58の頂部に対して選択的にエッチング
し、酸化物キャップ58の側壁60、62に隣接する材
料と、ゲート導体52の側壁54、56に隣接する材料
だけが、エッチングされずに残るようにする。こうして
残された材料は、図9に示されるように、第1の組のス
ペーサ68、70を形成する。したがって、第1層66
を形成するのに使用された誘電性材料が、拡散領域およ
び酸化物に対するエッチング選択性を有することが重要
である。たとえば、チッ化物を使用し、RIE技法など
の従来式の方向性エッチング技法を用いることで、所望
の選択性が得られる。
【0036】任意選択として、その後、ケイ化接合層6
4、65を拡散領域48、49に形成して、これらの領
域の導電性を高める。ケイ化接合層64、65は、既知
の製造技法を使用して形成され、パターニングされる。
【0037】次に、図10に示すように、化学気相成長
法などによって、ケイ化接合層64、65、第1の組の
スペーサ68、70および酸化物キャップ58の頂部を
被覆するように、第2層72を付着する。その後、第2
層72をケイ化接合層64、65、酸化物キャップ58
の頂部および第1の組のスペーサ68、70に対して選
択的にエッチングし、第1の組のスペーサ68、70に
隣接する材料だけがエッチングされずに残るようにす
る。したがって、残される材料は、図11に示されるよ
うに、第1の組のスペーサ68、70と実質的に同一の
高さを有する第2の組のスペーサ74、76を形成す
る。
【0038】第2層72の寸法が第2の組のスペーサ7
4、76の寸法を決定し、第2の組のスペーサ74、7
6の寸法が拡散領域48に接触するよう形成されるスタ
ッドの寸法を決定することを理解されたい。したがっ
て、スタッドに必要な寸法を、第2層72を付着する時
点で考慮に入れておかなければならない。
【0039】この例では、以下に示す理由から、第2の
組のスペーサ74、76を形成する第2層72をケイ化
接合層64、65、酸化物キャップ58および第1の組
のスペーサ68、70に対して選択的にエッチングでき
るだけではなく、酸化物も第2層72および結果の第2
の組のスペーサ74、76に対して選択的に研磨するこ
とができる。これに関して、第2層72の形成に使用さ
れる材料の選択は、第1の組のスペーサ68、70の形
成に使用される誘電性材料の選択に部分的に依存するこ
とが理解できる。たとえば、第1の組のスペーサ68、
70にチッ化物が含まれる場合には、チッ化ホウ素(B
N)、チッ化シリコンホウ素、チッ化炭素ホウ素および
チッ化シリコンが、第2の組のスペーサ74、76の形
成に使用するのに必要なエッチング選択性と研磨選択性
を有する。
【0040】第2層72が均一の厚さに付着され、第2
層72のエッチングに使用するエッチング技法が方向性
または異方性であることが好ましい。実例を挙げると、
実質的に均一な層のBNを第2層72として使用して第
2の組のスペーサ74、76を形成する場合には、前述
のパラメータ群を使用して反応性イオン・エッチング
(RIE)技法を用いると、必要な異方性とエッチング
選択性とがもたらされる。
【0041】ここで図12を参照する。酸化物層などの
絶縁層78を、化学気相成長法などによって付着し、第
2の組のスペーサ74、76と隣接するように、また、
ケイ化接合層64、65および拡散領域48、49を被
覆し、絶縁するようにする。その後、絶縁層78を研磨
し、絶縁層78の頂部が第1の組のスペーサ68、70
の頂部および第2の組のスペーサ74、76の頂部と実
質的に同一平面になるようにする。すなわち、絶縁層7
8が第2の組のスペーサ74、76と実質的に同一の高
さになるまで、絶縁層78を研磨する。酸化物は第2の
組のスペーサ74、76の形成に使用される誘電性材料
に対して選択的に研磨される、すなわち、第2の組のス
ペーサ74、76は、酸化物よりゆっくりと研磨される
ので、第2の組のスペーサ74、76は研磨ステップの
間に「止め」として機能する。絶縁層78として酸化物
を使用するのは、例示のみを目的としたものであり、し
たがって、第2の組のスペーサ74、76に使用される
誘電材より早く研磨される他の誘電材を、酸化物の代わ
りに使用できることを理解されたい。たとえば、リンケ
イ酸ガラスまたはホウリンケイ酸ガラスなどのドーピン
グされた酸化物を使用することも可能である。
【0042】任意選択として、酸化物キャップ58の頂
部および第1の組のスペーサ68、70の頂部が、第2
の組のスペーサ74、76の頂部と実質的に同一平面で
あるから、このような構造の頂部を、第2の組のスペー
サ74、76の代わりに研磨止めとして使用することも
できる。シリコンチッ化物など、酸化物に対して研磨選
択性を有する材料の薄い層を、酸化物キャップ58の頂
部または第1の組のスペーサ68、70の頂部に付着し
て、このような構造に研磨止めとして機能させることが
必要な場合がある。この薄い層は、その後、研磨ステッ
プの後に除去しなければならない。
【0043】本発明の次のステップによれば、フォトレ
ジストの層が研磨された絶縁層78の上に塗布される。
このフォトレジスト層は標準的なフォトリソグラフィ技
術の露光と現像を使用して、図13に示されるように、
フォトレジスト・ブロックアウト・マスク80を形成す
るようにパターニングされる。フォトレジスト・ブロッ
クアウト・マスク80はスペーサ70およびスペーサ7
6を被覆またはブロック・アウトするが、スペーサ68
およびスペーサ74を露出したままにする。以下でさら
に説明するように、スペーサ74は拡散接触窓を形成す
るためのエッチングのために露出される。図13ではス
ペーサ74が露出されているが、スペーサ74とスペー
サ76のどちらを露出するかの選択は、製造中の半導体
デバイスの要件に依存する。というのは、スペーサ74
とスペーサ76のいずれか一方をエッチングして、拡散
接触窓を形成することができるからである。しかしなが
ら、拡散接触窓の形成に使用されず、エッチングされず
に残されるスペーサを被覆するように、フォトレジスト
・ブロックアウト・マスク80をパターニングすること
が重要である。
【0044】さらに、フォトレジスト・ブロックアウト
・マスク80が、拡散接触窓の形成に使用されるスペー
サ74に隣接する絶縁層78または酸化物キャップ58
を被覆しないことに留意されたい。スペーサ74が酸化
物に対して選択的にエッチングされるので、スペーサ7
4に隣接する絶縁層78および酸化物キャップ58を、
フォトレジスト・ブロックアウト・マスク80によって
被覆する必要がない。したがって、スペーサ74はエッ
チングの間に除去され、酸化物キャップ58と絶縁層7
8は、エッチングされずに残る。したがって、スペーサ
74をエッチングするためにフォトレジスト・ブロック
アウト・マスク80を形成する時には、高い精度は要求
されない。
【0045】その後、スペーサ74は、酸化物キャップ
58、絶縁層78およびスペーサ68に対して選択的に
エッチングされる。スペーサ74に使用される材料の例
として、やはりBNを使用すると、前述のパラメータ群
を使用するRIE法によって、必要な選択性が得られ
る。
【0046】図14に示されるように、スペーサ74を
エッチングし、除去し、したがって、拡散接触開口また
は拡散接触窓82が形成される。この拡散接触窓82
は、絶縁層78、スペーサ68およびケイ化接合層64
によって画定される境界を有する空隙である。したがっ
て、スペーサ74がエッチング選択性を有することが、
拡散接触窓82の形成を、スペーサ68に対して「自動
位置合せ式」にする。さらに、スペーサ74は拡散接触
窓82の形成のためエッチングされ除去されるという点
で、少なくとも部分的には「犠牲の」構造として機能す
る。
【0047】スペーサ68は拡散接触窓82をスタッド
材料で埋め戻す時に、ゲート導体52がケイ化接合層6
4を介して拡散領域48に短絡しないようにする。
【0048】その後、フォトレジスト・ブロックアウト
・マスク80を、標準的な技法を使用して除去し、シリ
コン・ウェハ44を、たとえばフッ化水素酸洗浄法を使
用して洗浄する。その結果を図15に示す。
【0049】次に、拡散接触窓82を、たとえばチタ
ン、チッ化チタン、タングステン、または他の適当な冶
金などの適当なスタッド材料で埋め戻す。図15に示さ
れるように、その後、このスタッド材料を研磨して、拡
散接触スタッド84を形成する。ゲート導体52が拡散
接触スタッド84とケイ化接合層64を介して拡散領域
48に短絡しないようにするために、スペーサ68が、
ゲート導体52を分離し、絶縁することがわかる。
【0050】したがって、拡散接触スタッド84の境界
はスペーサ68、絶縁層78およびケイ化接合層64に
よって画定される。また、拡散接触スタッド84はスペ
ーサ68に対して「自動位置合せ式」である。
【0051】
【発明の効果】半導体構造用の接触スタッドを正確かつ
適正に形成し位置合せすることができ、構造の側壁に沿
ってスタッドを接触させることによって、接触スタッド
と半導体構造の間の接触領域を増大させられるようにな
った。
【0052】
【図面の簡単な説明】
【図1】本発明によるゲート導体用のスタッドを形成す
る間の処理の様々な段階での基板の一部を示す、概略横
断面図である。
【図2】本発明によるゲート導体用のスタッドを形成す
る間の処理の様々な段階での基板の一部を示す、概略横
断面図である。
【図3】本発明によるゲート導体用のスタッドを形成す
る間の処理の様々な段階での基板の一部を示す、概略横
断面図である。
【図4】本発明によるゲート導体用のスタッドを形成す
る間の処理の様々な段階での基板の一部を示す、概略横
断面図である。
【図5】本発明によるゲート導体用のスタッドを形成す
る間の処理の様々な段階での基板の一部を示す、概略横
断面図である。
【図6】本発明によるゲート導体用のスタッドを形成す
る間の処理の様々な段階での基板の一部を示す、概略横
断面図である。
【図7】本発明によるゲート導体用のスタッドを形成す
る間の処理の様々な段階での基板の一部を示す、概略横
断面図である。
【図8】本発明による拡散領域用のスタッドを形成する
間の処理の様々な段階での基板の一部を示す、概略横断
面図である。
【図9】本発明による拡散領域用のスタッドを形成する
間の処理の様々な段階での基板の一部を示す、概略横断
面図である。
【図10】本発明による拡散領域用のスタッドを形成す
る間の処理の様々な段階での基板の一部を示す、概略横
断面図である。
【図11】本発明による拡散領域用のスタッドを形成す
る間の処理の様々な段階での基板の一部を示す、概略横
断面図である。
【図12】本発明による拡散領域用のスタッドを形成す
る間の処理の様々な段階での基板の一部を示す、概略横
断面図である。
【図13】本発明による拡散領域用のスタッドを形成す
る間の処理の様々な段階での基板の一部を示す、概略横
断面図である。
【図14】本発明による拡散領域用のスタッドを形成す
る間の処理の様々な段階での基板の一部を示す、概略横
断面図である。
【図15】本発明による拡散領域用のスタッドを形成す
る間の処理の様々な段階での基板の一部を示す、概略横
断面図である。
【符号の説明】
10 シリコン・ウェハ 12 基板 18 ゲート電極またはゲート導体 20 酸化物キャップ 23 誘電層 28 側壁スペーサ 30 側壁スペーサ 32 ケイ化接合層 33 ケイ化接合層 34 絶縁層 36 フォトレジスト・ブロックアウト・マスク 38 残存部分 40 ゲート導体接触窓 42 ゲート導体接触スタッド 44 露出面
───────────────────────────────────────────────────── フロントページの続き (72)発明者 デビッド・スタノソロビッチ アメリカ合衆国12590、ニューヨーク州ワ ッピンガーズ・フォール、メイヤーズ・コ ーナー・ロード 137番地 (72)発明者 ロナルド・アーチャー・ワレン アメリカ合衆国05452、バーモント州エセ ックス・ジャンクション、セイブルック・ ロード 104番地

Claims (7)

    【特許請求の範囲】
  1. 【請求項1】その上に位置合せ構造と半導体構造が形成
    され、前記位置合せ構造が側壁を含む、半導体基板を設
    けるステップと、 前記位置合せ構造の前記側壁と前記半導体構造とに隣接
    し、前記位置合せ構造と実質的に同一の高さを有する側
    壁スペーサを形成するステップと、 前記半導体構造を効果的に絶縁するよう、前記側壁スペ
    ーサと実質的に同一の高さの絶縁層を前記側壁スペーサ
    に隣接して付着するステップと、 前記半導体構造にアクセスできるようにするための接触
    窓開口を形成するため、前記位置合せ構造の前記側壁
    と、前記半導体構造と、前記絶縁層とに対して選択的に
    前記側壁スペーサをエッチングするステップと、 前記半導体構造に接触してスタッドを形成するため、前
    記接触窓開口を半導体材料で埋め戻すステップとを含
    む、半導体構造用のスタッドを製造する方法。
  2. 【請求項2】前記側壁スペーサが、 前記半導体構造および前記位置合せ構造に対するエッチ
    ング選択性を有する材料の層を用いて、前記位置合せ構
    造の前記側壁を含めて前記半導体構造と前記位置合せ構
    造を被覆するステップと、 前記位置合せ構造の前記側壁を被覆する材料がエッチン
    グされずに残って、前記側壁スペーサを形成するよう
    に、前記半導体構造と前記位置合せ構造とに対して選択
    的に前記材料をエッチングするステップとによって形成
    されることを特徴とする、請求項1に記載の方法。
  3. 【請求項3】前記材料の層が、実質的に均一の厚さを有
    し、前記材料の前記エッチングが、実質的に異方性のエ
    ッチングを含むことを特徴とする、請求項2に記載の方
    法。
  4. 【請求項4】さらに、前記絶縁層が前記側壁スペーサと
    実質的に同一の高さになるまで、前記絶縁層を平面化す
    るための平面化ステップを含む、請求項1に記載の方
    法。
  5. 【請求項5】半導体構造と、 側壁を有する位置合せ構造と、 前記半導体構造に接触し、前記側壁に対して位置合せさ
    れ、かつ前記側壁に接触しており、さらに前記半導体構
    造への電気接続を可能にする電気接触点を与えるための
    露出された表面を有する、導電性材料のスタッドとを含
    む、半導体デバイス。
  6. 【請求項6】前記スタッドが、前記スタッドと前記半導
    体構造の間の接触面積を最大にするため、前記側壁のか
    なりの部分に接触することを特徴とする、請求項5に記
    載の半導体デバイス。
  7. 【請求項7】さらに、前記半導体構造と前記スタッドを
    絶縁するための絶縁手段を含むことを特徴とする、請求
    項5に記載の半導体デバイス。
JP4257969A 1991-10-29 1992-09-28 半導体構造用のスタッドを形成する方法および半導体デバイス Expired - Lifetime JP2505961B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/784,193 US5216282A (en) 1991-10-29 1991-10-29 Self-aligned contact studs for semiconductor structures
US784193 1991-10-29

Publications (2)

Publication Number Publication Date
JPH05226478A true JPH05226478A (ja) 1993-09-03
JP2505961B2 JP2505961B2 (ja) 1996-06-12

Family

ID=25131638

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4257969A Expired - Lifetime JP2505961B2 (ja) 1991-10-29 1992-09-28 半導体構造用のスタッドを形成する方法および半導体デバイス

Country Status (4)

Country Link
US (2) US5216282A (ja)
EP (1) EP0540446B1 (ja)
JP (1) JP2505961B2 (ja)
DE (1) DE69226328T2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970022583A (ko) * 1995-10-27 1997-05-30 알베르트 발도르프, 롤프 옴케 측벽 스페이서에 의한 경계없는 콘택트 에칭 방법 및 선택적 이방 에칭 방법
JP2009081179A (ja) * 2007-09-25 2009-04-16 Watanabe Shoko:Kk Bcn系の絶縁膜及びその製造方法並びに半導体装置およびその製造方法
JP2012094900A (ja) * 2012-01-26 2012-05-17 Watanabe Shoko:Kk Bcn系の絶縁膜及びその製造方法並びに半導体装置

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970009274B1 (ko) * 1991-11-11 1997-06-09 미쓰비시덴키 가부시키가이샤 반도체장치의 도전층접속구조 및 그 제조방법
US5364817A (en) * 1994-05-05 1994-11-15 United Microelectronics Corporation Tungsten-plug process
JP3256084B2 (ja) * 1994-05-26 2002-02-12 株式会社半導体エネルギー研究所 半導体集積回路およびその作製方法
US5380671A (en) * 1994-06-13 1995-01-10 United Microelectronics Corporation Method of making non-trenched buried contact for VLSI devices
US5512514A (en) * 1994-11-08 1996-04-30 Spider Systems, Inc. Self-aligned via and contact interconnect manufacturing method
US5684331A (en) * 1995-06-07 1997-11-04 Lg Semicon Co., Ltd. Multilayered interconnection of semiconductor device
US5879955A (en) * 1995-06-07 1999-03-09 Micron Technology, Inc. Method for fabricating an array of ultra-small pores for chalcogenide memory cells
US6420725B1 (en) * 1995-06-07 2002-07-16 Micron Technology, Inc. Method and apparatus for forming an integrated circuit electrode having a reduced contact area
US5714039A (en) * 1995-10-04 1998-02-03 International Business Machines Corporation Method for making sub-lithographic images by etching the intersection of two spacers
US6653733B1 (en) 1996-02-23 2003-11-25 Micron Technology, Inc. Conductors in semiconductor devices
US6337266B1 (en) 1996-07-22 2002-01-08 Micron Technology, Inc. Small electrode for chalcogenide memories
US6015977A (en) 1997-01-28 2000-01-18 Micron Technology, Inc. Integrated circuit memory cell having a small active area and method of forming same
US6724088B1 (en) 1999-04-20 2004-04-20 International Business Machines Corporation Quantum conductive barrier for contact to shallow diffusion region
US6399434B1 (en) 2000-04-26 2002-06-04 International Business Machines Corporation Doped structures containing diffusion barriers
US6563156B2 (en) 2001-03-15 2003-05-13 Micron Technology, Inc. Memory elements and methods for making same
US7084423B2 (en) 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US20040036171A1 (en) * 2002-08-22 2004-02-26 Farnworth Warren M. Method and apparatus for enabling a stitch wire bond in the absence of discrete bump formation, semiconductor device assemblies and electronic systems including same
KR100485690B1 (ko) * 2002-10-26 2005-04-27 삼성전자주식회사 모스 트랜지스터 및 그 제조방법
WO2013077954A1 (en) 2011-11-23 2013-05-30 Acorn Technologies, Inc. Improving metal contacts to group iv semiconductors by inserting interfacial atomic monolayers
US9620611B1 (en) 2016-06-17 2017-04-11 Acorn Technology, Inc. MIS contact structure with metal oxide conductor
US10170627B2 (en) 2016-11-18 2019-01-01 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63278256A (ja) * 1987-05-09 1988-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4476482A (en) * 1981-05-29 1984-10-09 Texas Instruments Incorporated Silicide contacts for CMOS devices
EP0075454B1 (en) * 1981-09-18 1987-11-25 Fujitsu Limited Semiconductor device having new conductive interconnection structure and method for manufacturing the same
CA1298921C (en) * 1986-07-02 1992-04-14 Madhukar B. Vora Bipolar transistor with polysilicon stringer base contact
US4980752A (en) * 1986-12-29 1990-12-25 Inmos Corporation Transition metal clad interconnect for integrated circuits
US4939154A (en) * 1987-03-25 1990-07-03 Seiko Instruments Inc. Method of fabricating an insulated gate semiconductor device having a self-aligned gate
JPH0622235B2 (ja) * 1987-05-21 1994-03-23 日本電気株式会社 半導体装置の製造方法
US4837609A (en) * 1987-09-09 1989-06-06 American Telephone And Telegraph Company, At&T Bell Laboratories Semiconductor devices having superconducting interconnects
US5057902A (en) * 1987-12-02 1991-10-15 Advanced Micro Devices, Inc. Self-aligned semiconductor devices
JPH01214067A (ja) * 1988-02-22 1989-08-28 Nec Corp ゲート電極及び配線とその製造方法
JPH01214168A (ja) * 1988-02-23 1989-08-28 Nec Corp 半導体装置及びその製造方法
JPH0666329B2 (ja) * 1988-06-30 1994-08-24 株式会社東芝 半導体装置の製造方法
US5136533A (en) * 1988-07-08 1992-08-04 Eliyahou Harari Sidewall capacitor DRAM cell
JPH0284741A (ja) * 1988-09-21 1990-03-26 Nec Corp 半導体装置の製造方法
EP0363297B1 (en) * 1988-10-03 1994-03-23 International Business Machines Corporation Improved contact stud structure for semiconductor devices
US5060029A (en) * 1989-02-28 1991-10-22 Small Power Communication Systems Research Laboratories Co., Ltd. Step cut type insulated gate SIT having low-resistance electrode and method of manufacturing the same
US4960723A (en) * 1989-03-30 1990-10-02 Motorola, Inc. Process for making a self aligned vertical field effect transistor having an improved source contact
US5043786A (en) * 1989-04-13 1991-08-27 International Business Machines Corporation Lateral transistor and method of making same
US5132755A (en) * 1989-07-11 1992-07-21 Oki Electric Industry Co. Ltd. Field effect transistor

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63278256A (ja) * 1987-05-09 1988-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970022583A (ko) * 1995-10-27 1997-05-30 알베르트 발도르프, 롤프 옴케 측벽 스페이서에 의한 경계없는 콘택트 에칭 방법 및 선택적 이방 에칭 방법
JP2009081179A (ja) * 2007-09-25 2009-04-16 Watanabe Shoko:Kk Bcn系の絶縁膜及びその製造方法並びに半導体装置およびその製造方法
JP2012094900A (ja) * 2012-01-26 2012-05-17 Watanabe Shoko:Kk Bcn系の絶縁膜及びその製造方法並びに半導体装置

Also Published As

Publication number Publication date
DE69226328D1 (de) 1998-08-27
US5216282A (en) 1993-06-01
EP0540446A2 (en) 1993-05-05
JP2505961B2 (ja) 1996-06-12
DE69226328T2 (de) 1999-03-25
EP0540446B1 (en) 1998-07-22
US5352927A (en) 1994-10-04
EP0540446A3 (ja) 1994-03-16

Similar Documents

Publication Publication Date Title
JP2505961B2 (ja) 半導体構造用のスタッドを形成する方法および半導体デバイス
US5166096A (en) Process for fabricating self-aligned contact studs for semiconductor structures
US5496771A (en) Method of making overpass mask/insulator for local interconnects
US4803173A (en) Method of fabrication of semiconductor device having a planar configuration
US5847460A (en) Submicron contacts and vias in an integrated circuit
JP3402022B2 (ja) 半導体装置の製造方法
US6171951B1 (en) Dual damascene method comprising ion implanting to densify dielectric layer and forming a hard mask layer with a tapered opening
US5444019A (en) Semiconductor contact via structure and method
US5843839A (en) Formation of a metal via using a raised metal plug structure
US5187121A (en) Process for fabrication of a semiconductor structure and contact stud
US5593921A (en) Method of forming vias
US6180517B1 (en) Method of forming submicron contacts and vias in an integrated circuit
US4933297A (en) Method for etching windows having different depths
US5989987A (en) Method of forming a self-aligned contact in semiconductor fabrications
JP2000307001A (ja) 半導体装置の製造方法
US6225175B1 (en) Process for defining ultra-thin geometries
JP2002505521A (ja) 接続構造に対するアライメント許容範囲を増大させる方法
US6458680B2 (en) Method of fabricating contact pads of a semiconductor device
JPH10242264A (ja) 半導体装置の製造方法
KR0162144B1 (ko) 반도체 소자의 콘택홀 형성 방법
KR100506050B1 (ko) 반도체소자의 콘택 형성방법
KR100223825B1 (ko) 반도체 소자의 격리영역 형성방법
KR100475135B1 (ko) 반도체 소자의 콘택 형성방법
KR19980015073A (ko) 랜딩 패드를 갖는 반도체 소자의 제조방법
JPH1187492A (ja) 半導体装置の製造方法