JP4733214B1 - マスクパターンの形成方法及び半導体装置の製造方法 - Google Patents

マスクパターンの形成方法及び半導体装置の製造方法 Download PDF

Info

Publication number
JP4733214B1
JP4733214B1 JP2010085956A JP2010085956A JP4733214B1 JP 4733214 B1 JP4733214 B1 JP 4733214B1 JP 2010085956 A JP2010085956 A JP 2010085956A JP 2010085956 A JP2010085956 A JP 2010085956A JP 4733214 B1 JP4733214 B1 JP 4733214B1
Authority
JP
Japan
Prior art keywords
film
line portion
line
mask
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010085956A
Other languages
English (en)
Other versions
JP2011216817A (ja
Inventor
英民 八重樫
義樹 五十嵐
和樹 成重
貴仁 武川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2010085956A priority Critical patent/JP4733214B1/ja
Priority to US13/638,662 priority patent/US20130023120A1/en
Priority to PCT/JP2011/057618 priority patent/WO2011125605A1/ja
Priority to CN201180018012.1A priority patent/CN102822943B/zh
Priority to KR1020127028853A priority patent/KR101427505B1/ko
Priority to TW100111020A priority patent/TWI450317B/zh
Application granted granted Critical
Publication of JP4733214B1 publication Critical patent/JP4733214B1/ja
Publication of JP2011216817A publication Critical patent/JP2011216817A/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

【課題】SWPの手法により微細なマスクパターンを形成するときに、酸化シリコン膜を成膜する際、及びエッチバック処理する際に、レジスト膜よりなる芯材が変形することを防止できるマスクパターンの形成方法及び半導体装置の製造方法を提供する。
【解決手段】レジスト膜よりなる第1のライン部をマスクとして反射防止膜をエッチングすることによって、第2のライン部を含むパターンを形成する第1のパターン形成工程S13と、レジスト膜に電子を照射する照射工程S14と、酸化シリコン膜を成膜する酸化シリコン膜成膜工程S15と、第2のライン部の側壁部として残存するように、酸化シリコン膜をエッチバック処理するエッチバック処理工程S16と、第2のライン部をアッシング処理することによって、酸化シリコン膜よりなり、側壁部として残存する第3のライン部を含むマスクパターンを形成する第2のパターン形成工程S18とを有する。
【選択図】図3

Description

本発明は、マスクパターンの形成方法及び半導体装置の製造方法に関する。
半導体デバイスの高集積化に伴って、製造プロセスに要求される配線や分離幅のパターンは、微細化される傾向にある。このような微細なパターンは、フォトリソグラフィ技術を用いてフォトレジスト膜(以下「レジスト膜」という。)よりなるライン部が所定の間隔で配列されたパターンを形成し、形成されたパターンをマスクパターンに用いて下地の各種薄膜である被エッチング膜をエッチングすることで形成される。マスクパターンを形成するためにはフォトリソグラフィ技術が重要であり、近時の半導体デバイスの微細化は、フォトリソグラフィ技術の解像限界以下を要求するまでに至っている。
このようなフォトリソグラフィ技術の解像限界以下の微細なマスクパターンを形成する方法として、所謂ダブルパターニング法がある。ダブルパターニング法は、第1のパターン形成工程と、この第1のパターン形成工程の後に行われる第2のパターン形成工程の2段階のパターニングを行うものである。ダブルパターニング法は、この2段階のパターニングによって、1回のパターニングでマスクパターンを形成する場合よりも微細なライン幅及びスペース幅を有するマスクパターンを形成する。
また、ダブルパターニング法の一つとして、芯材となるライン部の両側に形成した側壁部をマスクとして使用するSWP(Side Wall Patterning)法により、芯材となる元のライン部を含むパターンよりも微細な配列の間隔を有するマスクパターンを形成する方法も知られている。この方法は、まずレジスト膜を成膜してライン部が配列したレジストパターンを形成し、その後、ライン部の表面を等方的に被覆するように、酸化シリコン膜等を形成する。そして、ライン部の側面を被覆する側壁部にのみ酸化シリコン膜が残るようにエッチバック処理し、その後、ライン部を除去して、残った側壁部である酸化シリコン膜をマスクパターンとする(例えば、特許文献1参照。)。このようにして、フォトリソグラフィ技術の解像限界以下の微細なマスクパターンを形成する。
特開2009−99938号公報
ところが、上記のように、フォトリソグラフィ技術の解像限界以下の微細なマスクパターンをSWPの手法により形成する場合、次のような問題がある。
上記したマスクパターンの形成方法では、酸化シリコン膜を成膜する際に、又は、成膜された酸化シリコン膜をエッチバック処理する際に、芯材を構成するレジスト膜よりなるライン部がプラズマに曝されやすい。プラズマに曝されたレジスト膜はプラズマと反応するため、ライン部の表面が荒れるか、又は、変形することがあり、その結果、ライン部の側壁の平坦性が劣化するか、又は、ライン部の線幅が減少することがある。
ライン部の側壁の平坦性が劣化すると、ライン部の側面を被覆する酸化シリコン膜も平坦性良く成膜することができないため、残存する側壁部よりなるマスクパターンの形状を均一かつ精度良くすることができない。また、ライン部の線幅が減少すると、ライン部の側面を被覆する側壁部が一方向に傾いたり、倒れたりするおそれがある。いずれの場合でも、側壁部の形状を均一かつ精度良く形成できないため、側壁部を含むマスクパターンをマスクとして下層のエッチングをするときに、エッチングによって形成される形状を均一かつ精度良くすることができない。
本発明は上記の点に鑑みてなされたものであり、SWPの手法により微細なマスクパターンを形成するときに、側壁部を形成するための酸化シリコン膜を成膜する際、及びその酸化シリコン膜をエッチバック処理する際に、レジスト膜よりなる芯材が変形することを防止できるマスクパターンの形成方法及び半導体装置の製造方法を提供する。
上記の課題を解決するために本発明では、次に述べる手段を講じたことを特徴とするものである。
本発明の一実施例によれば、基板上に反射防止膜を介して形成されたレジスト膜よりなる第1のライン部をマスクとして前記反射防止膜をエッチングすることによって、前記レジスト膜と前記反射防止膜とよりなる第2のライン部を含むパターンを形成する第1のパターン形成工程と、前記レジスト膜に電子を照射する照射工程と、前記第1のパターン形成工程及び前記照射工程の後、前記第2のライン部を等方的に被覆するように酸化シリコン膜を成膜する酸化シリコン膜成膜工程と、前記酸化シリコン膜を、前記第2のライン部の上部から除去するとともに、前記第2のライン部の側壁部として残存するように、前記酸化シリコン膜をエッチバック処理するエッチバック処理工程と、前記エッチバック処理工程の後、前記第2のライン部をアッシング処理することによって、前記酸化シリコン膜よりなり、前記側壁部として残存する第3のライン部を含むマスクパターンを形成する第2のパターン形成工程とを有する、マスクパターンの形成方法が提供される。
本発明によれば、SWPの手法により微細なマスクパターンを形成するときに、側壁部を形成するための酸化シリコン膜を成膜する際、及びその酸化シリコン膜をエッチバック処理する際に、レジスト膜よりなる芯材が変形することを防止できる。
第1の実施の形態に係るプラズマ処理装置の構成を示す概略断面図である。 プラズマ処理装置の各部の制御及び全体のシーケンスを制御する制御部の構成例を示す図である。 第1の実施の形態に係るマスクパターンの形成方法及び半導体装置の製造方法の各工程の手順を説明するためのフローチャートである。 第1の実施の形態に係るマスクパターンの形成方法及び半導体装置の製造方法を説明するための図であり、各工程におけるウェハの状態を模式的に示す断面図(その1)である。 第1の実施の形態に係るマスクパターンの形成方法及び半導体装置の製造方法を説明するための図であり、各工程におけるウェハの状態を模式的に示す断面図(その2)である。 第1の実施の形態に係るマスクパターンの形成方法及び半導体装置の製造方法を説明するための図であり、各工程におけるウェハの状態を模式的に示す断面図(その3)である。 第1の実施の形態において、ライン部に電子を照射することによって行う改質処理の原理を模式的に説明するための図である。 電子がレジストに照射されたときの電子エネルギーと電子侵入深さとの理論的な関係をグラフで示す図である。 従来のマスクパターンの形成方法及び半導体装置の製造方法において、エッチバック処理工程までが行われた後のウェハの状態を模式的に示す断面図である。 第1の実施の形態に係るマスクパターンの形成方法及び半導体装置の製造方法の別の例について、各工程の手順を説明するためのフローチャートである。 密部A1及び疎部A2が設けられたウェハの状態を模式的に示す断面図である。 第2の実施の形態に係るプラズマ処理装置の構成を示す概略断面図である。
次に、本発明を実施するための形態について図面と共に説明する。
(第1の実施の形態)
図1から図9を参照し、本発明の第1の実施の形態に係るマスクパターンの形成方法及び半導体装置の製造方法について説明する。
初めに、図1及び図2を参照し、本実施の形態に係るプラズマ処理装置について説明する。図1は、本実施の形態に係るプラズマ処理装置100の構成を示す概略断面図である。図2は、プラズマ処理装置100の各部の制御及び全体のシーケンスを制御する制御部130の構成例を示す図である。
プラズマ処理装置100は、容量結合型のプラズマエッチング装置として構成されており、例えばアルミニウム又はステンレス鋼等の金属製の円筒型チャンバ(処理容器)10を有している。チャンバ10は接地されている。
チャンバ10内には、被処理基板として、例えば半導体ウェハW(以下「ウェハW」という。)を載置する円板状のサセプタ12が下部電極として水平に配置されている。このサセプタ12は、例えばアルミニウムからなり、チャンバ10の底から垂直上方に延びる絶縁性の筒状支持部14に支持されている。この筒状支持部14の外周に沿ってチャンバ10の底から垂直上方に延びる導電性の筒状支持部(内壁部)16とチャンバ10の側壁との間に環状の排気路18が形成されている。この排気路18の入口にリング状の排気リング(バッフル板)20が取り付けられ、排気路18の底に排気口22が設けられている。排気口22には排気管24を介して排気装置26が接続されている。排気装置26は、ターボ分子ポンプなどの真空ポンプを有しており、チャンバ10内の処理空間を所望の真空度まで減圧することができる。チャンバ10の側壁には、ウェハWの搬入出口を開閉するゲートバルブ28が取り付けられている。
サセプタ12には、高周波電源30が整合器32及び下部給電棒36を介して電気的に接続されている。高周波電源30は、サセプタ12上のウェハWに対するイオンの引き込みに寄与する周波数(通常13.56MHz以下)の高周波を出力する。整合器32は、高周波電源30側のインピーダンスと負荷(主に電極、プラズマ、チャンバ)側のインピーダンスとの間でマッチングをとり、かつ自動的にマッチング補正できるように構成されている。
サセプタ12は、ウェハWよりも一回り大きな直径又は口径を有している。サセプタ12の上には、処理対象のウェハWが設置され、ウェハWを囲むようにフォーカスリング(補正リング)38が設けられている。
サセプタ12の上面にはウェハ吸着用の静電チャック40が設けられている。静電チャック40は、膜状又は板状の誘電体の中にシート状又はメッシュ状の導電体を挟んでいる。この導電体にはチャンバ10の外に配置される直流電源42がスイッチ44及び給電線46を介して電気的に接続されている。直流電源42より印加される直流電圧により、クーロン力で半導体ウェハWを静電チャック40上に吸着保持することができる。
サセプタ12には、温度分布調整部120が設けられている。温度分布調整部120は、ヒータ121a、121b、ヒータ用電源122a、122b、温度計123a、123b、冷媒流路124a、124bを有する。
サセプタ12の内部には、中心側に中心側ヒータ121aが、外周側に外周側ヒータ121bが設けられている。中心側ヒータ121aには、中心側ヒータ用電源122aが接続され、外周側ヒータ121bには、外周側ヒータ用電源122bが接続されている。中心側ヒータ用電源122a、外周側ヒータ用電源122bは、それぞれ中心側ヒータ121a、外周側ヒータ121bに投入する電力を独立に調節することによって、サセプタ12に、半径方向に沿った温度分布を発生させることができる。これにより、ウェハWに半径方向に沿った温度分布を発生させることができる。
また、サセプタ12の内部には、中心側温度計123a及び外周側温度計123bが設けられている。中心側温度計123a及び外周側温度計123bは、サセプタ12の中心側及び外周側の温度を計測し、これによりウェハWの中心側及び外周側の温度を導出できる。中心側温度計123a及び外周側温度計123bで計測された温度は、温度制御部127に送られる。温度制御部127は、計測された温度から導出されたウェハWの温度が目標温度となるように、中心側ヒータ用電源122a及び外周側ヒータ用電源122bの出力を調整する。また、温度制御部127は、後述する制御部130と接続されている。
更に、サセプタ12の内部には、中心側に中心側冷媒流路124a、外周側に外周側冷媒流路124bが設けられている。そして、図示しないチラーユニットより、それぞれに異なる温度の、例えば冷却水、フルオロカーボン系等の冷媒が循環供給される。この場合中心側冷媒流路124aには、中心側導入管125aを介して冷媒が導入され、循環された後、中心側排出管126aから排出される。外周側冷媒流路124bには、外周側導入管125bを介して冷媒が導入され、循環された後、外周側排出管126bから排出される。
サセプタ12は、ヒータ121a、121bによる加熱、及び冷媒からの冷却により、温度が調整される。従って、ウェハWは、プラズマからの輻射やプラズマに含まれるイオンの照射などによる加熱分も含め、サセプタ12との熱量の授受により、所定の温度になるように調整される。また、本実施の形態では、サセプタ12は、中心側に中心側ヒータ121a及び中心側冷媒流路124aを有し、外周側に外周側ヒータ121b及び外周側冷媒流路124bを有する。従って、ウェハWは、中心側と外周側とで独立して温度を調整することができ、ウェハWの面内における温度分布を調整することができる。
また、本実施の形態では、更に、ウェハWの温度分布の精度を一層高めるために、図示しない伝熱ガス供給部からの伝熱ガス、例えばHeガスが、ガス供給管54及びサセプタ12内部のガス通路56を介して静電チャック40とウェハWの間に供給される。
チャンバ10の天井には、サセプタ12と平行に向かい合ってシャワーヘッドを兼ねる上部電極60が設けられている。上部電極(シャワーヘッド)60は、サセプタ12と向かい合う電極板62と、電極板62をその背後(上)から着脱可能に支持する電極支持体64を有している。また、電極支持体64の内部にはガス拡散室66を設け、ガス拡散室66からサセプタ12側に貫ける多数のガス吐出孔68を電極支持体64及び電極板62に形成している。電極板62とサセプタ12との空間がプラズマ生成空間又は処理空間PSとなる。ガス拡散室66は、ガス供給管70を介して処理ガス供給部72に接続されている。
上部電極60において、処理時にプラズマに曝される電極板62の材質は重要である。本実施の形態では、電極板62は、DC印加部材として機能する。そのため、電極表面が直流電流に対して良好な導電性を維持することができ、かつプラズマからのイオン入射によってスパッタされてもプロセスに悪影響を与えないような材質が好ましく、例えばSi、SiC等のSi含有導電材やC(カーボン)を好適に使用できる。電極支持体64は、例えばアルマイト処理されたアルミニウムで構成されていてもよい。上部電極60とチャンバ10との間にはリング状の絶縁体65が挿入され、上部電極60は電気的にフローティング状態でチャンバ10に取り付けられている。
上部電極60には、高周波電源74が整合器76及び上部給電棒78を介して電気的に接続されている。高周波電源74は、プラズマの生成に寄与する周波数(通常40MHz以上)の高周波を出力する。整合器76は、高周波電源74側のインピーダンスと負荷(主に電極、プラズマ、チャンバ)側のインピーダンスとの間でマッチングをとり、かつ自動的にマッチングを補正できるように構成されている。
チャンバ10の外に設置される可変直流電源80の出力端子は、スイッチ82及び直流給電ライン84を介して上部電極60に電気的に接続されている。可変直流電源80は、例えば−2000〜+1000Vの直流電圧VDCを出力できるように構成されている。
直流給電ライン84の途中に設けられるフィルタ回路86は、可変直流電源80からの直流電圧VDCをスルーで上部電極60に印加する。また、フィルタ回路86は、一方で、サセプタ12から処理空間PS及び上部電極60を通って直流給電ライン84に入ってきた高周波を接地ラインへ流して可変直流電源80側へは流さないように構成されている。
また、チャンバ10内で処理空間PSに面する適当な箇所として例えばバッフル板20の上面あるいは筒状支持部16の頂部付近あるいは上部電極60の半径方向外側に、例えばSi、SiC等の導電性材料からなるリング状のDCグランドパーツ(直流接地電極)88が取り付けられている。DCグランドパーツ88は、接地ライン90を介して常時接地されている。
プラズマ処理装置10内の各部、例えば排気装置26、高周波電源30、74、スイッチ44、82、処理ガス供給部72、可変直流電源80、図示しないチラーユニット、図示しない伝熱ガス供給部等の個々の動作及び装置全体の動作(シーケンス)は、例えばマイクロコンピュータよりなる制御部130によって制御される。
図2に示すように、制御部130は、バス150を介して接続されたプロセッサ(CPU)152、メモリ(RAM)154、プログラム格納装置(HDD)156、フレキシブルディスクあるいは光ディスクなどのディスクドライブ(DRV)158、キーボードやマウスなどの入力デバイス(KEY)160、表示装置(DIS)162、ネットワーク・インタフェース(COM)164、及び周辺インタフェース(I/F)166を有する。
プロセッサ(CPU)152は、ディスクドライブ(DRV)158に装填されたFDあるいは光ディスクなどの記憶媒体168から所要のプログラムのコードを読み取って、HDD156に格納する。あるいは、所要のプログラムをネットワークからネットワーク・インタフェース164を介してダウンロードすることも可能である。プロセッサ(CPU)152は、各段階又は各場面で必要なプログラムのコードをプログラム格納装置(HDD)156からワーキングメモリ(RAM)154上に展開して各ステップを実行し、所用の演算処理を行う。そして、プロセッサ(CPU)152は、周辺インタフェース(I/F)166を介して装置内の各部、特に、排気装置26、高周波電源30、74、処理ガス供給部72、可変直流電源80、スイッチ82、温度分布調整部120等を制御する。
プラズマ処理装置100において、サセプタ12上のウェハWに対してエッチング加工を行うには、処理ガス供給部72よりエッチャントガスを含む処理ガスを所定の流量でチャンバ10内に導入し、排気装置26によりチャンバ10内の圧力を設定値に調節する。さらに、高周波電源74よりプラズマ生成用の第1高周波(40MHz以上)を整合器76及び上部給電棒78を介して上部電極60に印加すると同時に、高周波電源30よりイオン引き込み用の第2高周波(13.56MHz)を整合器32及び下部給電棒36を介してサセプタ12に印加する。また、スイッチ44をオンにし、静電吸着力によって、静電チャック40と半導体ウェハWとの間の接触界面に伝熱ガス(Heガス)を閉じ込める。シャワーヘッド60より吐出された処理ガスは、両電極12、60間の処理空間PSで高周波の放電によってプラズマ化し、このプラズマで生成されるラジカルやイオンによってウェハW上の被加工膜が所望のパターンにエッチングされる。
このプラズマエッチングでは、高周波電源74より上部電極60に40MHz以上(より好ましくは60MHz以上)のプラズマ生成に適した比較的高い周波数の第1高周波を印加する。これにより、プラズマを好ましい解離状態で高密度化し、より低圧の条件下でも高密度プラズマを形成することができる。それと同時に、サセプタ12に13.56MHz以下というイオン引き込みに適した比較的低い周波数の第2高周波を印加する。これにより、ウェハWの被加工膜に対して選択性の高い異方性のエッチングを施すことができる。また、プラズマ生成用の第1高周波は、如何なるプラズマプロセスでも必ず使用されるが、イオン引き込み用の第2高周波は、プロセスによっては使用されないことがある。
更に、プラズマエッチングを行う際に、上部電極60に可変直流電源80より直流電圧が(通常は−900V〜0Vの範囲内で)印加される。これにより、プラズマ着火安定性、レジスト選択性、エッチング速度、エッチング均一性等を向上させることもできる。
次に、図3から図6を参照し、本実施の形態に係るマスクパターンの形成方法及び半導体装置の製造方法について説明する。
図3は、本実施の形態に係るマスクパターンの形成方法及び半導体装置の製造方法の各工程の手順を説明するためのフローチャートである。図4Aから図4Cは、本実施の形態に係るマスクパターンの形成方法及び半導体装置の製造方法を説明するための図であり、各工程におけるウェハの状態を模式的に示す断面図である。図5は、本実施の形態において、ライン部に電子を照射することによって行う改質処理の原理を模式的に説明するための図である。図6は、電子がレジストに照射されたときの電子エネルギーと電子侵入深さとの理論的な関係をグラフで示す図である。
本実施の形態に係る半導体装置の製造方法は、図3に示すように、積層工程(ステップS11)、フォトリソグラフィ工程(ステップS12)、マスクパターン形成工程(ステップS13〜ステップS18)、第2のマスク膜エッチング工程(ステップS19)及び被エッチング膜エッチング工程(ステップS20)を有する。また、本実施の形態に係るマスクパターン形成方法は、マスクパターン形成工程(ステップS13〜ステップS18)を有する。そして、マスクパターン形成工程(ステップS13〜ステップS18)は、第1のパターン形成工程(ステップS13)、照射工程(ステップS14)、酸化シリコン膜成膜工程(ステップS15)、エッチバック処理工程(ステップS16)、第1のマスク膜エッチング工程(ステップS17)及び第2のパターン形成工程(ステップS18)を有する。
なお、第2のマスク膜エッチング工程は、本発明におけるマスク膜エッチング工程に相当する。
最初に、積層工程(ステップS11)を行う。積層工程(ステップS11)では、例えばシリコン基板よりなるウェハ110(ウェハWと同じウェハを意味する。)上に、絶縁膜111、被エッチング膜112、マスク膜113、反射防止膜114及びレジスト膜115を積層する。図4A(a)は、積層工程(ステップS11)におけるウェハ110の状態を示す。
被エッチング膜112は、本実施の形態に係るマスクパターン形成方法を含む半導体装置の製造方法において、最終的にエッチング加工したい膜である。絶縁膜111を、例えばゲート絶縁膜として機能する例えばTEOS(テトラエトキシシラン)を原料とする酸化シリコン(SiO)膜とし、被エッチング膜112を、例えばエッチング加工後にゲート電極として機能するポリシリコン膜とすることができる。また、被エッチング膜112の厚さを、例えば90nmとすることができる。
マスク膜113は、酸化シリコン膜成膜工程(ステップS15)で形成される酸化シリコン膜116よりなる第3のライン部116aから形状を転写され、下層の膜である被エッチング膜112をエッチングする際のハードマスクとして機能する膜である。マスク膜113は、被エッチング膜112をエッチング加工する際に、被エッチング膜112に対して高い選択比を有することが好ましい。すなわち、マスク膜113のエッチング速度に対する被エッチング膜112のエッチング速度の比が大きいことが好ましい。マスク膜113として、例えばSiN膜、SiON膜等の無機膜を用いることができる。また、マスク膜113の厚さを、例えば26nmとすることができる。
反射防止膜114は、その上に形成されるレジスト膜115のフォトリソグラフィを行う際の反射防止膜(Bottom Anti-Reflective Coating;BARC)として機能する膜である。反射防止膜114として、例えば有機BARCと呼ばれるCからなる膜等を用いることができる。また、反射防止膜114の厚さを、例えば30nmとすることができる。
レジスト膜115は、ウェハ110上に反射防止膜114を介して形成されており、フォトリソグラフィを行って、その後のSWPにおける芯材となる第1のライン部115aを形成するためのものである。レジスト膜115として、例えばArFレジストを用いることができる。また、レジスト膜115の厚さを、例えば100nmとすることができる。
次に、フォトリソグラフィ工程(ステップS12)を行う。フォトリソグラフィ工程(ステップS12)では、フォトリソグラフィ技術を用いて、レジスト膜115よりなる第1のライン部115aを形成する。図4A(b)は、フォトリソグラフィ工程(ステップS12)におけるウェハ110の状態を示す。
図4A(b)に示すように、形成されたレジスト膜115をパターン露光し、現像することによって、レジスト膜115よりなる第1のライン部115aを含むパターンを形成する。第1のライン部115aは、反射防止膜114をエッチングする際に、マスクとして機能する。第1のライン部115aは、ライン幅(線幅)L1及びスペース幅S1を有し、間隔D1(=L1+S1)で配列する。ライン幅L1及びスペース幅S1は、特に限定されるものではなく、共に例えば60nmとすることができる。
なお、ライン部とは、平面視において、ある方向に沿って延びるように設けられ、その延びている方向と直交する方向に沿って、隣接するライン部と互いに離隔して設けられているような構造を有するものをいう。また、ライン幅(線幅)とは、ライン部の延びている方向と直交する方向に沿った幅寸法を意味する。また、スペース幅とは、ライン部と隣接するライン部との間の領域であるスペース部の延びている方向と直交する方向に沿った幅寸法を意味する。また、ライン部が配列する間隔とは、ライン部と隣接するライン部との中心間距離を意味する。
次に、第1のパターン形成工程(ステップS13)を行う。第1のパターン形成工程(ステップS13)では、ウェハ110にプラズマを照射し、ウェハ110上に反射防止膜114を介して形成されたレジスト膜115よりなる第1のライン部115aをマスクとして反射防止膜114をエッチングする。これにより、レジスト膜115と反射防止膜114とよりなる第2のライン部114aを含むパターンを形成する。図4A(c)は、第1のパターン形成工程(ステップS13)におけるウェハ110の状態を示す。
また、第1のパターン形成工程(ステップS13)は、反射防止膜114をエッチングするとともに、第1のライン部115aをトリミングすることによって、第1のライン部115aのライン幅(線幅)L1よりも小さなライン幅(線幅)L2を有する第2のライン部114aを形成するものであってもよい。以下、本実施の形態では、第1のライン部115aのトリミングも同時に行う例について説明する。
第1のパターン形成工程(ステップS13)では、処理ガス供給部72より所定の処理ガスを適当な流量でチャンバ10内に導入し、排気装置26によりチャンバ10内の圧力を設定値に調節する。そして、高周波電源74よりプラズマ生成用の第1高周波(40MHz以上)を整合器76及び上部給電棒78を介して上部電極60に印加する。また、スイッチ44をオンにし、静電吸着力によって、静電チャック40とウェハW(ウェハ110)との間の接触界面に伝熱ガス(Heガス)を閉じ込める。すると、シャワーヘッド60より吐出された処理ガスが両電極12、60間で高周波放電により解離・電離してプラズマが生成される。
第1のパターン形成工程(ステップS13)では、処理ガスとして、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いることができる。
上記した処理ガスを用いることにより、レジスト膜115よりなる第1のライン部115aをマスクとして、反射防止膜114がエッチングされるとともに、第1のライン部115a自体もトリミング処理される。その結果、レジスト膜115と反射防止膜114とよりなり、第1のライン部115aのライン幅(線幅)L1よりも小さいライン幅(線幅)L2を有する第2のライン部114aが形成される。
図4A(c)に示すように、トリミング処理によって形成される第2のライン部114aのライン幅(線幅)L2は、トリミング処理される前の第1のライン部115aのパターンのライン幅(線幅)L1に比べ細くなる。すなわち、第1のライン部115aのライン幅(線幅)L1及びスペース幅S1と、第2のライン部114aのライン幅(線幅)L2及びスペース幅S2との大小関係は、L2<L1、S2>S1となる。L2及びS2の値は、特に限定されるものではなく、例えばL2を30nm、S2を90nmとすることができる。
ここで、可変直流電源80より直流電圧VDCを負極性の高圧で上部電極60に印加する。図5に示すように、直流電圧VDCを上部電極60に印加すると、上部電極60とプラズマPRとの間に形成される上部イオンシースSHが厚くなり、シース電圧Vは、直流電圧に略等しい大きさになる。これにより、プラズマPR中のイオン(+)が上部イオンシースSHの電界で加速されて上部電極60(電極板62)にぶつかる際のイオン衝撃エネルギーが増し、放電によって電極板62より放出される2次電子eが多くなる。そして、電極板62より放出された2次電子eは、上部イオンシースSHの電界でイオンとは逆方向に加速されてプラズマPRを通り抜け、さらに下部イオンシースSHを横断して、サセプタ12上のウェハW(ウェハ110)の表面に所定の高エネルギーで打ち込まれる。すなわち、ウェハWの表面のレジスト膜115よりなる第1のライン部115aに含まれているレジスト膜115に、電子が照射される。レジスト膜115に電子が照射されると、レジスト膜115中のレジストの高分子が電子のエネルギーを吸収し、組成変化や、構造変化、架橋反応等を起こす。これにより、第1のライン部115aが改質される。
この際、2次電子eは、電界の内プラズマPRの中を等速度で通過するが、下部イオンシースSHのシース電圧V(又は自己バイアス電圧)は低いほどよく、通常は100V以下が望ましい。従って、サセプタ12に印加される第2の高周波(13.56MHz)のパワーを50W以下に選定してもよく、より好ましくは0Wにしてもよい。
また図5に示す原理から、上部電極60に印加する負極性直流電圧VDCの絶対値を大きくするほど、ウェハW(ウェハ110)上のレジスト膜115よりなる第1のライン部115aに打ち込まれる電子のエネルギーを大きくすることができる。その結果、ウェハW(ウェハ110)上のレジスト膜115よりなる第1のライン部115aにおける電子の侵入深さ、すなわち改質深さを大きくすることができる。
一般的には、電子がレジストに打ち込まれたときの電子エネルギーと電子侵入深さとの間には、図6に示すような関数(グラフ)で略比例関係にあることが理論的に知られている。この理論によれば、電子エネルギーが600eVのときの侵入深さは約30nmであり、電子エネルギーが1000eVのときの侵入深さは約50nmであり、電子エネルギーが1500eVのときの侵入深さは約120nmである。
ただし、第1のパターン形成工程(ステップS13)では、上部電極60に印加する負極性直流電圧VDCの絶対値をあまり大きくすると、プラズマにより反射防止膜114をエッチングするエネルギーも大きくなりすぎてしまう。そのため、上部電極60に印加する負極性直流電圧VDCの絶対値は、所定の絶対値VAB以下であることが好ましい。具体的には、所定の絶対値VABを例えば600Vとすることができる。そして、負極性直流電圧VDCの絶対値を例えば600Vとすることができる。
また、第1のパターン形成工程(ステップS13)では、サセプタ12に支持されているウェハW(ウェハ110)の面内における温度分布を調整してもよい。この調整によって、後述するように、ウェハW(ウェハ110)の面内における第2のライン部114aのライン幅(線幅)L2の分布を制御することができる。
次に、照射工程(ステップS14)を行う。照射工程(ステップS14)では、レジスト膜115及び反射防止膜114よりなる第2のライン部114aに電子を照射する。図4B(d)は、照射工程(ステップS14)におけるウェハ110の状態を示す。
照射工程(ステップS14)でも、第1のパターン形成工程(ステップS13)と同様に、処理ガス供給部72より所定の処理ガスを適当な流量でチャンバ10内に導入し、排気装置26によりチャンバ10内の圧力を設定値に調節する。そして、高周波電源74よりプラズマ生成用の第1高周波(40MHz以上)を整合器76及び上部給電棒78を介して上部電極60に印加する。すると、シャワーヘッド60より吐出された処理ガスが両電極12、60間で高周波放電により解離・電離してプラズマが生成される。
ただし、照射工程(ステップS14)は、エッチング処理を行うためではなく、第2のライン部114aが形成された後、更に、第2のライン部114aを改質するための工程である。従って、処理ガスとして、エッチングの効果の大きい処理ガス、例えば、CF、C、CHF、CHF、CH等のCF系ガスに代え、エッチングの効果の小さい処理ガス、例えば、水素(H)ガスと、Arガス等の混合ガス等を用いることができる。
上記した処理ガスを用いることにより、照射工程(ステップS14)では、レジスト膜115と反射防止膜114とよりなる第2のライン部114aのライン幅(線幅)L2はほとんど変化しない。
照射工程(ステップS14)でも、第1のパターン形成工程(ステップS13)と同様に、可変直流電源80より直流電圧VDCを負極性の高圧で上部電極60に印加する。直流電圧VDCを上部電極60に印加すると、プラズマPR中のイオン(+)が上部イオンシースSHの電界で加速されて上部電極60(電極板62)にぶつかる際のイオン衝撃エネルギーが増し、放電によって電極板62より放出される2次電子eが多くなる。そして、電極板62より放出された2次電子eは、サセプタ12上のウェハW(ウェハ110)の表面に所定の高エネルギーで打ち込まれる。すなわち、ウェハWの表面のレジスト膜115と反射防止膜114とよりなる第2のライン部114aに含まれているレジスト膜115に、電子が照射される。照射工程(ステップS14)でも、レジスト膜115に電子が照射されると、レジスト膜115中のレジストの高分子が電子のエネルギーを吸収して組成変化や、構造変化、架橋反応等を起こす。これにより、第2のライン部114aが改質される。
また、照射工程(ステップS14)では、処理ガスの種類を選択することによりプラズマによるエッチングがほとんど行われないため、上部電極60に印加する負極性直流電圧VDCの絶対値は前述した所定の絶対値VABよりも大きくしてもよい。具体的には、前述したように所定の絶対値VABを例えば600Vとするときに、負極性直流電圧VDCの絶対値を例えば900Vとすることができる。
次に、酸化シリコン膜成膜工程(ステップS15)を行う。酸化シリコン膜成膜工程(ステップS15)では、第2のライン部114aを等方的に被覆するように酸化シリコン膜116を成膜する。図4B(e)は、酸化シリコン膜成膜工程(ステップS15)におけるウェハ110の状態を示す。
なお、酸化シリコン膜116として、SiO膜、SiO膜とは酸素とシリコンとの組成比が異なるSiO膜、又はシリコンと酸素を主成分として含む他の組成の膜のいずれであってもよい。また、酸窒化シリコン膜(SiON膜)を用いることもできる。
酸化シリコン膜116の成膜は、レジスト膜115及び反射防止膜114が第2のライン部114aとして残った状態で行うが、一般的にレジスト膜115が高温に弱いため、低温(例えば300℃以下程度)で行うことが好ましい。酸化シリコン膜116の成膜方法としては、低温で成膜できるものであればよい。本実施の形態では、低温での分子層堆積(Molecular Layer Deposition、以下MLDという)、即ち低温MLDによって行うことができる。その結果、図4B(e)に示すように、ウェハ110の全面に酸化シリコン膜116が成膜され、第2のライン部114aの側面にも第2のライン部114aの側面を被覆するように酸化シリコン膜116が成膜される。このときの酸化シリコン膜116の厚さをDとすると、第2のライン部114aの側面を被覆する酸化シリコン膜116の幅もDとなる。酸化シリコン膜116の厚さDを、例えば30nmとすることができる。
ここで、低温MLDによる酸化シリコン膜成膜工程について説明する。
低温MLDにおいては、シリコンを含む原料ガスを成膜装置の処理容器内に供給し、シリコン原料をウェハ110上に吸着させる工程と、酸素を含むガスを処理容器内に供給し、シリコン原料を酸化させる工程とを交互に繰り返す。
具体的には、シリコンを含む原料ガスをウェハ110上に吸着させる工程においては、シリコンを含む原料ガスとして、1分子内に2個のアミノ基を有するアミノシランガス、例えばビスターシャリブチルアミノシラン(以下、BTBASという)を、シリコン原料ガスの供給ノズルを介して処理容器内に所定の時間供給する。これにより、ウェハ110上にBTBASを吸着させる。
次に、酸素を含むガスを処理容器内に供給し、シリコン材料を酸化させる工程においては、酸素を含むガスとして、例えば高周波電源を備えたプラズマ生成機構によってプラズマ化されたOガスを、ガス供給ノズルを介して処理容器内に所定の時間供給する。これにより、ウェハ110上に吸着されたBTBASが酸化され、酸化シリコン膜116が形成される。
また、上述したシリコンを含む原料ガスをウェハ110上に吸着させる工程と、酸素を含むガスを処理容器内に供給し、シリコン材料を酸化させる工程とを切り換える際に、各々の工程の間に、直前の工程における残留ガスを除去するために、処理容器内を真空排気しつつ例えば窒素ガス等の不活性ガスよりなるパージガスを処理容器内に供給する工程を所定の時間行うことができる。なお、この工程は、処理容器内に残留しているガスを除去することができればよく、パージガスを供給せずに全てのガスの供給を停止した状態で真空排気を継続して行うことができる。
本実施の形態においては、酸化シリコン膜116を成膜するためのSiソースガスとして有機シリコンを含むソースガスを用いる。有機シリコンを含むSiソースガスの例は、アミノシラン系プリカーサである。アミノシラン系プリカーサの例は、1価または2価のアミノシラン系プリカーサである。1価または2価のアミノシラン系プリカーサの具体的な例は、例えば、BTBAS(ビスターシャリブチルアミノシラン)、BDMAS(ビスジメチルアミノシラン)、BDEAS(ビスジエチルアミノシラン)、DPAS(ジプロピルアミノシラン)、BAS(ブチルアミノシラン)、及びDIPAS(ジイソプロピルアミノシラン)である。
また、アミノシラン系プリカーサとしては、3価のアミノシラン系プリカーサを用いることもできる。3価のアミノシラン系プリカーサの例は、TDMAS(トリジメチルアミノシラン)である。
また、有機シリコンを含むSiソースガスとしては、アミノシラン系プリカーサの他、エトキシシラン系プリカーサを用いることもできる。エトキシシラン系プリカーサの例は、例えば、TEOS(テトラエトキシシラン)である。
一方、酸素を含むガスとしては、Oガスの他、NOガス、NOガス、HOガス、Oガスを用いることができ、これらを高周波電界によりプラズマ化して酸化剤として用いることができる。このような酸素を含むガスのプラズマを用いることにより、酸化シリコン膜の成膜を300℃以下で行うことができる。また、酸素を含むガスのガス流量、高周波電源の電力、処理容器内の圧力を更に調整することにより、酸化シリコン膜の成膜を100℃以下又は室温で行うことができる。
次に、エッチバック処理工程(ステップS16)を行う。エッチバック処理工程(ステップS16)では、酸化シリコン膜116を、第2のライン部114aの上部から除去するとともに、第2のライン部114aの側壁部116aとして残存するように、酸化シリコン膜116をエッチバック処理する。図4B(f)は、エッチバック処理工程(ステップS16)におけるウェハ110の状態を示す。
エッチバック処理工程(ステップS16)では、再びプラズマ処理装置100内で、処理ガス供給部72より所定の処理ガスを適当な流量でチャンバ10内に導入し、排気装置26によりチャンバ10内の圧力を設定値に調節する。そして、高周波電源74よりプラズマ生成用の第1高周波(40MHz以上)を整合器76及び上部給電棒78を介して上部電極60に印加する。すると、シャワーヘッド60より吐出された処理ガスが両電極12、60間で高周波放電により解離・電離してプラズマが生成される。
エッチバック処理工程(ステップS16)では、処理ガスとして、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いることができる。
上記した処理ガスを用いることにより、酸化シリコン膜116が主としてウェハ110の表面に垂直な方向に沿って異方的にエッチングされる。その結果、酸化シリコン膜116が第2のライン部114aの上部から除去されるとともに、第2のライン部114aの側面を被覆する側壁部116aとしてのみ残った状態となる。このとき、第2のライン部114aと隣接する第2のライン部114aとの間のスペース部に形成された酸化シリコン膜116も除去されている。そして、側壁部116aによって側面を被覆された第2のライン部114aを、側面被覆ライン部114bとする。
側面被覆ライン部114bのライン幅をL2´、スペース幅をS2´とすると、第2のライン部114aのライン幅L2が30nm、側壁部116aの厚さDが30nmである場合、L2´=L2+D×2、S2´=S2−D×2であるため、L2´を90nm、S2´を30nmとすることができる。
次に、第1のマスク膜エッチング工程(ステップS17)を行う。第1のマスク膜エッチング工程(ステップS17)では、側壁部116aによって側面を被覆された第2のライン部114aである側面被覆ライン部114bをマスクとして、マスク膜113をエッチングする。
なお、図4C(g)は、第1のマスク膜エッチング工程(ステップS17)と次の第2のパターン形成工程(ステップS18)が終了したときのウェハ110の状態を示すものである。
第1のマスク膜エッチング工程(ステップS17)でも、処理ガス供給部72より所定の処理ガスを適当な流量でチャンバ10内に導入し、プラズマ生成用の第1高周波(40MHz以上)を上部電極60に印加すると同時に、イオン引き込み用の第2高周波(13.56MHz)をサセプタ12に印加する。供給された処理ガスは、両電極12、60間で高周波の放電によってプラズマ化し、このプラズマで生成されるラジカルやイオンによって、マスク膜113がエッチングされる。
第1のマスク膜エッチング工程(ステップS17)でも、処理ガスとして、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いることができる。
第1のマスク膜エッチング工程(ステップS17)では、側面被覆ライン部114bと隣接する側面被覆ライン部114bとの間のスペース部である領域R1において、マスク膜113がエッチングされる。
次に、第2のパターン形成工程(ステップS18)を行う。第2のパターン形成工程(ステップS18)では、レジスト膜115と反射防止膜114とよりなる第2のライン部114aをアッシング処理する。これにより、酸化シリコン膜116よりなる側壁部116aとして残存する第3のライン部116aを含むマスクパターンを形成する。前述したように、第2のパターン形成工程(ステップS18)までが終了したときのウェハ110の状態は、図4C(g)に示される。
第2のパターン形成工程(ステップS18)でも、処理ガス供給部72より所定の処理ガスを適当な流量でチャンバ10内に導入し、プラズマ生成用の第1高周波(40MHz以上)を上部電極60に印加すると同時に、イオン引き込み用の第2高周波(13.56MHz)をサセプタ12に印加する。供給された処理ガスは、両電極12、60間で高周波の放電によってプラズマ化し、このプラズマで生成されるラジカルやイオンによって、レジスト膜115と反射防止膜114とよりなる第2のライン部114aがアッシング処理される。
第2のパターン形成工程(ステップS18)では、処理ガスとして、例えば、水素(H)ガス、窒素(N)ガス等の混合ガス等を用いることができる。
上記した処理ガスを用いることにより、レジスト膜115と反射防止膜114とよりなる第2のライン部114aがアッシング処理され、酸化シリコン膜116よりなり、側壁部116aとして残存している第3のライン部116aを含むパターンが形成される。
第3のライン部116aは、マスク膜113をエッチングする際に、マスクとして機能する。第3のライン部116aのライン幅をL3、スペース幅をS3、S3´とすると、第2のライン部114aのライン幅L2が30nm、側壁部116aの厚さDが30nmである場合、L3=D、S3=L2、S3´=S2´であるため、L3を30nm、S3及びS3´を30nmとすることができる。
すなわち、第3のライン部116aは、ライン幅(線幅)L3及びスペース幅S3を有し、間隔D2(=L3+S3)で配列する。ここで、間隔D2=L3+S3=60nmであり、第1のライン部115aの間隔D1=L1+S1=120nmの半分になっている。また、第3のライン部116aのライン幅(線幅)L3及びスペース幅S3は、それぞれ第1のライン部115aのライン幅(線幅)L1及びスペース幅S1の半分になっている。すなわち、本実施の形態では、第1の間隔D1(=120nm)で配列する第1のライン部115aの半分の間隔である第2の間隔D2(=60nm)で配列する第3のライン部116aを含むマスクパターンを形成することができる。
次に、第2のマスク膜エッチング工程(ステップS19)を行う。第2のマスク膜エッチング工程(ステップS19)では、ウェハ110に照射したプラズマにより、第3のライン部116aを含むマスクパターンを用いて、マスク膜113をエッチングする。これにより、マスク膜113よりなる第4のライン部113aを形成する。図4C(h)は、第2のマスク膜エッチング工程(ステップS19)におけるウェハ110の状態を示す。
第2のマスク膜エッチング工程(ステップS19)でも、処理ガス供給部72より所定の処理ガスを適当な流量でチャンバ10内に導入し、プラズマ生成用の第1高周波(40MHz以上)を上部電極60に印加すると同時に、イオン引き込み用の第2高周波(13.56MHz)をサセプタ12に印加する。供給された処理ガスは、両電極12、60間で高周波の放電によってプラズマ化し、このプラズマで生成されるラジカルやイオンによって、マスク膜113がエッチングされる。
第2のマスク膜エッチング工程(ステップS19)でも、処理ガスとして、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いることができる。
上記した処理ガスを用いることにより、酸化シリコン膜116よりなる第3のライン部116aをマスクとして、マスク膜113がエッチングされる。その結果、マスク膜113よりなり、第3のライン部116aとライン幅(線幅)の略等しい第4のライン部113aが形成される。
次に、被エッチング膜エッチング工程(ステップS20)を行う。被エッチング膜エッチング工程(ステップS20)では、ウェハ110に照射したプラズマにより、被エッチング膜112を、マスク膜113よりなる第4のライン部113aをマスクとしてエッチングすることによって、被エッチング膜112よりなる第5のライン部112aを形成する。図4C(i)は、被エッチング膜エッチング工程(ステップS20)におけるウェハ110の状態を示す。
被エッチング膜エッチング工程(ステップS20)でも、処理ガス供給部72より所定の処理ガスを適当な流量でチャンバ10内に導入し、プラズマ生成用の第1高周波(40MHz以上)を上部電極60に印加すると同時に、イオン引き込み用の第2高周波(13.56MHz)をサセプタ12に印加する。供給された処理ガスは、両電極12、60間で高周波の放電によってプラズマ化し、このプラズマで生成されるラジカルやイオンによって、被エッチング膜112がエッチングされる。
被エッチング膜エッチング工程(ステップS20)でも、処理ガスとして、例えば、CF、C、CHF、CHF、CH等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いることができる。
上記した処理ガスを用いることにより、マスク膜113よりなる第4のライン部113aをマスクとして、被エッチング膜112がエッチングされる。その結果、被エッチング膜112よりなり、第3のライン部116a及び第4のライン部113aとライン幅(線幅)の略等しい第5のライン部112aが形成される。
また、被エッチング膜エッチング工程(ステップS20)では、サセプタ12に支持されているウェハ110の面内における温度分布を調整してもよい。この調整によって、後述するように、ウェハ110の面内における第5のライン部112aのライン幅(線幅)L3の分布を制御することができる。
次に、図4B(f)及び図7を参照し、本実施の形態に係るマスクパターンの形成方法及び半導体装置の製造方法において、シリコン酸化膜をエッチバック処理する際にレジスト膜よりなる芯材の変形を防止できる作用効果について説明する。図7は、従来のマスクパターンの形成方法及び半導体装置の製造方法において、エッチバック処理工程(ステップS16)までが行われた後のウェハ110の状態を模式的に示す断面図である。
ArFレジスト等のレジスト膜115は、プラズマ耐性又はエッチング耐性が弱く、しかも超微細な寸法でパターニングされるため、プラズマエッチングを行う際に、レジスト膜115よりなる第2のライン部114aの表面が荒れたり、第2のライン部114aの側面がでこぼこになって、LER(Line Edge Roughness)やLWR(Line Width Roughness)等の凹凸変形や蛇行変形をきたすことが問題になっている。
このようなレジスト膜115よりなる第2のライン部114aをSWPの芯材として用いるとき、酸化シリコン膜成膜工程(ステップS15)において酸化シリコン膜116を成膜する際に、第2のライン部114aがプラズマに曝されやすい。プラズマに曝されたレジスト膜はプラズマと反応するため、第2のライン部114aの表面が荒れるか、又は変形することがある。また、エッチバック処理工程(ステップS16)において酸化シリコン膜116をエッチバック処理する際に、第2のライン部114aの上部の酸化シリコン膜116が除去されることによって、第2のライン部114aがプラズマに曝されるため、第2のライン部114aの表面が荒れるか、又は変形することがある。
例えば、図7(a)に示すように、酸化シリコン膜成膜工程(ステップS15)又はエッチバック処理工程(ステップS16)において、第2のライン部114aのライン幅(線幅)がプラズマと反応してL2s(<L2)と小さくなることがある。このとき、側壁部116aよりなる第3のライン部116aが、交互に異なるスペース幅で配列することになり、第3のライン部116aを形状良く形成することができない。
また、例えば、図7(b)に示すように、酸化シリコン膜成膜工程(ステップS15)又はエッチバック処理工程(ステップS16)において、第2のライン部114aの上端側の線幅L2tが、根元側の線幅L2bよりも小さくなることがある。これは、第2のライン部114aの上端側ほどプラズマに曝されやすいためである。このようなとき、側壁部116aがウェハ110の表面に垂直に形成することができず、交互に逆方向に傾斜することになり、第3のライン部116aを形状良く形成することができない。
更に、例えば、図7(c)に示すように、酸化シリコン膜成膜工程(ステップS15)又はエッチバック処理工程(ステップS16)において、第2のライン部114aの側面がでこぼこになり、側壁部116aの側壁もでこぼこになることがある。このようなとき、側壁部116aよりなる第3のライン部116aの前述したLERやLWR等の表面粗さが増大し、第3のライン部116aを形状良く形成することができない。
そして、側壁部116aが変形すると、側壁部116aをマスクとして下層のマスク層113や被エッチング膜112を順次エッチングする際に、その変形した形状が転写される。そのため、被エッチング膜112をエッチングして第5のライン部112aを形成するときに、形成される第5のライン部112aの形状を精度良くすることができない。
本実施の形態によれば、酸化シリコン膜116を成膜する前に、レジスト膜115よりなる第2のライン部114aに電子を照射することによって、第2のライン部114aを改質しておく。その結果、プラズマに対する耐性が向上するため、酸化シリコン膜116を成膜した後、酸化シリコン膜116を側壁部116aのみが残存するようにエッチバック処理する際に、芯材である第2のライン部114aの変形を防止することができる。また、第2のライン部114aの変形が防止されるため、第2のライン部114aをマスクとして下層の膜をエッチングする際に、エッチングによって形成される形状を精度良くすることができる。また、エッチングによって形成されるパターンが倒れることを防止することができる。
なお、本実施の形態では、第1のパターン形成工程(ステップS13)及び照射工程(ステップS14)のいずれにおいても、ウェハ110に電子を照射し、第2のライン部114aを改質する例について説明した。しかし、酸化シリコン膜成膜工程(ステップS15)を行うまでに、ウェハ110に電子を照射し、第2のライン部114aが改質されればよい。従って、第1のパターン形成工程(ステップS13)では電子を照射せず、照射工程(ステップS14)においてのみ電子を照射するようにしてもよい。照射工程(ステップS14)においてのみ電子を照射するようにした例を、図8に示す。図8は、本実施の形態に係るマスクパターンの形成方法及び半導体装置の製造方法の別の例について、各工程の手順を説明するためのフローチャートである。
図8においては、図3における第1のパターン形成工程(ステップS13)に代え、第1のパターン形成工程(ステップS13´)を行う。第1のパターン形成工程(ステップS13´)では、電子を照射せず、反射防止膜114をエッチングすることによって、第2のライン114aを含むパターンを形成する。また、第1のパターン形成工程(ステップS13´)以外の各工程は、図3における各工程と同様である。
ここで、実施例1、実施例2を実施し、比較例1と比較することによって、側壁部116aで側面を被覆された第2のライン部114aの形状の評価を行った。その評価結果について、表1を参照しながら、説明する。
(実施例1)
実施例1として、図3におけるステップS11からステップS18の各工程を行った。実施例1におけるステップS13、ステップS14、ステップS16からステップS18の各工程の条件を以下に示す。
(A)第1のパターン形成工程(ステップS13)
成膜装置内圧力 :800mTorr
高周波電源パワー(40MHz/13MHz):200/0W
上部電極の電位 :−600V
ウェハ温度 :中心側/外周側=30/30℃
処理ガスの流量 :CF/O/Ar=150/50/1000sccm
処理時間 :30秒
(B)照射工程(ステップS14)
成膜装置内圧力 :100mTorr
高周波電源パワー(40MHz/13MHz):500/0W
上部電極の電位 :−900V
ウェハ温度 :中心側/外周側=30/30℃
処理ガスの流量 :H/Ar=450/450sccm
処理時間 :10秒
(C)エッチバック処理工程(ステップS16)
成膜装置内圧力 :30mTorr
高周波電源パワー(40MHz/13MHz):500/100W
上部電極の電位 :300V
ウェハ温度 :中心側/外周側=30/30℃
処理ガスの流量 :C/Ar/O=15/450/22.5sccm
処理時間 :25秒
(D)第1のマスク膜エッチング工程(ステップS17)
成膜装置内圧力 :30mTorr
高周波電源パワー(40MHz/13MHz):400/0W
上部電極の電位 :0V
ウェハ温度 :中心側/外周側=30/30℃
処理ガスの流量 :CF/CHF/O=125/125/20sccm
処理時間 :12秒
(E)第2のパターン形成工程(ステップS18)
成膜装置内圧力 :100mTorr
高周波電源パワー(40MHz/13MHz):500/0W
上部電極の電位 :0V
ウェハ温度 :中心側/外周側=30/30℃
処理ガスの流量 :H/N=300/900sccm
処理時間 :60秒
(実施例2)
実施例2として、図8におけるステップS11からステップS18の各工程を行った。実施例2におけるステップS14、ステップS16からステップS18の各工程の条件は、実施例1と同様である。また、実施例2におけるステップS13´の条件を以下に示す。
(F)第1のパターン形成工程(ステップS13´)
成膜装置内圧力 :800mTorr
高周波電源パワー(40MHz/13MHz):200/0W
上部電極の電位 :0V
ウェハ温度 :中心側/外周側=30/30℃
処理ガスの流量 :CF/O/Ar=150/20/1000sccm
処理時間 :55秒
(比較例1)
比較例1として、図8におけるステップS14を省略し、ステップS11、ステップS12、ステップS13´、ステップS15からステップS18の各工程を行った。比較例1におけるステップS16からステップS18の各工程の条件は、実施例1と同様である。また、比較例1におけるステップS13´の条件は、実施例2と同様である。
表1は、実施例1、実施例2及び比較例1において、エッチバック処理工程(ステップS16)まで行われた後の、側壁部116aで側面が被覆された第2のライン部114aのライン幅(線幅)L2を示す。
Figure 0004733214
表1に示すように、比較例1ではL2=25.6nmであるが、実施例2ではL2=28.3nmとなり、実施例2では比較例1に比べ、第2のライン部114aのライン幅(線幅)L2が大きくなった。従って、照射工程(ステップS14)において電子を照射することによって、酸化シリコン膜成膜工程(ステップS15)及びエッチバック処理工程(ステップS16)において第2のライン部114aが変形することを防止できる。
また、表1に示すように、比較例1ではL2=25.6nmであり、実施例2ではL2=28.3nmであるが、実施例1ではL2=33.3nmとなり、実施例1では、比較例1に比べ、実施例2よりも更に第2のライン部114aのライン幅(線幅)L2が大きくなった。従って、照射工程(ステップS14)において電子を照射するとともに、第1のパターン形成工程(ステップS13)においても電子を照射することによって、酸化シリコン膜成膜工程(ステップS15)及びエッチバック処理工程(ステップS16)において第2のライン部114aが変形することを更に防止できる。
次に、表2を参照し、第1のパターン形成工程(ステップS13)で、サセプタ12に支持されているウェハ110の面内における温度分布を調整することによって、ウェハ110の面内における第2のライン部114aのライン幅(線幅)L2の分布を均一にすることができる作用効果について説明する。
以下では、上記した(A)の条件において、ウェハ110の中心側の温度TIを一定(30℃)にしたまま、外周側の温度TOを変えることによって、ウェハ110の温度分布を調整し、ウェハ110の面内における線幅CDのばらつきを求めた。その他の条件は、上記した(A)の条件と同様である。
表2は、ウェハ110の外周側の温度TOが20℃、30℃、40℃のときの、ウェハ110の最外周におけるCDシフト量を、外周側の温度TOが30℃のときを基準として示す。
なお、ウェハ110のサイズを、300mmφとした。また、CDシフト量とは、トリミング処理(第1のパターン形成工程(ステップS13))前の第1のライン部115aのライン幅(線幅)L1と、トリミング処理(第1のパターン形成工程(ステップS13))後の第2のライン部114aのライン幅(線幅)L2との差を意味する。
Figure 0004733214
表2に示すように、外周側の温度TOが中心側の温度TIよりも10℃低い20℃であるときは、ウェハ110の最外周におけるCDシフト量は、外周側の温度TOが30℃であるときに比べ、3nm小さい。また、外周側の温度TOが中心側の温度TIよりも10℃高い40℃であるときは、ウェハ110の最外周におけるCDシフト量は、外周側の温度TOが30℃であるときに比べ、2nm大きい。従って、中心側の温度TIと外周側の温度TOを独立に調整することによって、トリミング処理(第1のパターン形成工程(ステップS13))後の第2のライン幅114aのライン幅(線幅)L2を、ウェハ110の中心側と外周側とにおいて、独立に制御することができる。
従って、第1のパターン形成工程(ステップS13)で、サセプタ12に支持されているウェハ110の面内における温度分布を調整することによって、ウェハ110の面内における第2のライン部114aのライン幅(線幅)L2の分布を均一にすることができる。
次に、図9及び表3を参照し、被エッチング膜エッチング工程(ステップS20)で、ウェハ110の面内における温度分布を調整することによって、ウェハ110の面内における被エッチング膜112よりなる第5のライン部112aのライン幅(線幅)L3の分布を、密部A1及び疎部A2のいずれにおいても均一にすることができる作用効果について説明する。図9は、密部A1及び疎部A2が設けられたウェハ110の状態を模式的に示す断面図である。
第2のパターン形成工程(ステップS18)まで行って、比較的小さい間隔D21(S3+L3)で第3のライン部116aが配列している領域(以下「密部」という。)を設けるまでの間に、比較的大きい(間隔D21より大きい)間隔D22で第3のライン部116bが配列している領域(以下「疎部」という。)A2を設ける。第3のライン部116bを形成するには、酸化シリコン膜116を成膜した後、領域A1を設ける部分を別途レジスト膜等で保護し、領域A2を設ける部分に別のレジスト膜よりなる第3のライン部116bを含むパターンを形成する。そして、形成された第3のライン部116a、116bを含むマスクパターンを用いて第2のマスク膜エッチング工程(ステップS19)及び被エッチング膜エッチング工程(ステップS20)を行うことによって、第5のライン部112a、112bを形成する。図9の左側には、比較的小さい間隔D21(S3+L3)で第5のライン部112aが配列している領域A1が設けられており、図9の右側には、比較的大きい(間隔D21より大きい)間隔D22で第5のライン部112bが配列している領域A2が設けられている。
以下では、実施例1に示した(A)から(E)に示す条件で図3におけるステップS11からステップS18の各工程を行って密部A1を設けるとともに、別途疎部A2を設けた。その後、(D)に示すステップS17と同様の条件でステップS19を行い、更に下記(G)に示す条件でステップS20を行った。このとき、ステップS20において、ウェハ110の中心側の温度TIを一定(50℃)にしたまま、外周側の温度TOを変えることによって、ウェハ110の面内における温度分布を調整した。そして、密部A1及び疎部A2のそれぞれにおける第5のライン部112a及び112bのライン幅(線幅)を求めた。その他の条件は、下記(G)の条件と同様である。また、被エッチング膜112としてポリシリコン膜を用いた。
(G)被エッチング膜エッチング工程(ステップS20)
成膜装置内圧力 :25mTorr
高周波電源パワー(40MHz/13MHz):1500/1500W
上部電極の電位 :300V
ウェハ温度 :中心側=50℃
処理ガスの流量 :C/Ar/O=50/700/37sccm
処理時間 :40秒
表3は、ウェハ110の外周側の温度TOが40℃、50℃、60℃のときの、ウェハ110の中心側及び外周側における、それぞれ密部A1、疎部A2の第5のライン部112a、112bのライン幅(線幅)を示す。表3において、ウェハ110の中心側及び外周側における密部A1の第5のライン部112aのライン幅(線幅)を、それぞれLI31及びLO31とする。また、ウェハ110の中心側及び外周側における疎部A2の第5のライン部112bのライン幅(線幅)を、それぞれLI32及びLO32とする。
Figure 0004733214
表3に示すように、外周側の温度TOを40℃から60℃の間で調整するとき、ウェハ110の中心側及び外周側における密部A1の第5のライン部112aのライン幅(線幅)の差LI31−LO31を、−1.0nmから0.6nmまで自在に変化させることができる。従って、LI31−LO31を0にすることも可能であるため、ウェハ110の中心側及び外周側における密部A1の第5のライン部112aのライン幅(線幅)の分布を均一にすることができる。
また、外周側の温度TOを40℃から60℃の間で調整するとき、ウェハ110の中心側及び外周側における疎部A2の第5のライン部112bのライン幅(線幅)の差LI32−LO32を、−11nmから7nmまで自在に変化させることができる。従って、LI32−LO32を0にすることも可能であるため、ウェハ110の中心側及び外周側における疎部A2の第5のライン部112bのライン幅(線幅)の分布も均一にすることができる。
表3に示すように、ウェハ110の外周側の温度TOを変化させたとき、疎部A2のライン幅(線幅)のウェハ110の中心側と外周側とにおける差は、密部A1のライン幅(線幅)のウェハ110の中心側と外周側とにおける差よりも大きく変化する。これは、疎部A2における第5のライン部112bは、密部A1における第5のライン部112aよりもプラズマと接触して反応しやすいためと考えられる。第5のライン部112a、112bがプラズマと反応するときの反応速度、及び反応して生成する反応生成物が第5のライン部112a、112bに再付着する付着係数は、温度に依存する。そのため、ウェハ110の温度を変化させたときに、疎部A2における第5のライン部112bのライン幅(線幅)は、密部A1における第5のライン部112aのライン幅(線幅)よりも大きく変化する。
従って、ウェハ110の温度分布を調整することによって、密部A1よりも疎部A2において、ライン幅(線幅)を大きく変化させることができる。そして、表3に示すように、中心側の密部A1におけるライン幅(線幅)LI31と外周側の密部A1におけるライン幅(線幅)LO31とを略等しくするとともに、中心側の疎部A2におけるライン幅(線幅)LI32と外周側の疎部A2におけるライン幅(線幅)LO32とを略等しくすることができる。
以上、本実施の形態によれば、微細なマスクパターンをSWPの手法により形成するときに、側壁部116aとなる酸化シリコン膜116を成膜する前に、側壁部116aの芯材となる第2のライン部114aに電子を照射することによって、第2のライン部114aを改質する。これにより、酸化シリコン膜116を成膜する際、及びその酸化シリコン膜116をエッチバック処理する際に、レジスト膜115よりなる芯材である第2のライン部114aの変形を防止できる。
また、本実施の形態によれば、第1のパターン形成工程(ステップS13)及び被エッチング膜エッチング工程(ステップS20)のいずれかにおいて、ウェハ110の面内における温度分布を調整する。これにより、ウェハ110の中心側と外周側とにおける、それぞれ第2のライン部114a及び第5のライン部112aの線幅の分布を均一にすることができる。
なお、本実施の形態では、第1のパターン形成工程(ステップS13)において、反射防止膜114をエッチングするとともに、第1のライン部115aをトリミング処理する例について説明した。しかし、第1のパターン形成工程(ステップS13)において、第1のライン部115aをトリミング処理しない場合、すなわち、第2のライン部114aのライン幅(線幅)L2が第1のライン部115aのライン幅(線幅)L1と略等しい場合にも、本実施の形態は適用可能である。そして、トリミング処理する場合と同様の作用効果を奏する。
また、本実施の形態では、第1のパターン形成工程(ステップS13)及び照射工程(ステップS14)で、又は照射工程(ステップS14)のみで、電子を照射する例について説明した。しかし、酸化シリコン膜成膜工程(ステップS15)を行う前に電子を照射すればよい。従って、フォトリソグラフィ工程(ステップS12)の後、第1のパターン形成工程(ステップS13)の前に電子を照射してもよい。
(第2の実施の形態)
次に、図10を参照し、本発明の第2の実施の形態に係るマスクパターンの形成方法について説明する。
本実施の形態は、第1のパターン形成工程(ステップS13)及び被エッチング膜エッチング工程(ステップS20)のいずれにおいてもウェハW(ウェハ110)の面内における温度分布を調整しない点で、第1の実施の形態と相違する。
図10は、本実施の形態に係るプラズマ処理装置100aの構成を示す概略断面図である。ただし、図10において、図1を用いて説明した部分と同一の部分には、同一の符号を付し、説明を省略する。
図10に示すように、本実施の形態に係るプラズマ処理装置100aは、サセプタ12に、温度分布調整部が設けられていない点で、第1の実施の形態において図1を用いて説明したプラズマ処理装置100と相違する。温度分布調整部が設けられていない点以外は、図1を用いて説明したプラズマ処理装置100と同様である。
本実施の形態では、温度分布調整部が設けられておらず、サセプタ12の内部には、例えば円周方向に延びる環状の冷媒流路48が設けられているだけである。冷媒流路48には、図示しないチラーユニットより配管50、52を介して所定温度の冷媒、例えば冷却水が循環供給される。冷媒の温度によって静電チャック40上のウェハWの温度を制御できる。
また、第1の実施の形態と同様に、更に、ウェハWの温度の精度を一層高めるために、図示しない伝熱ガス供給部からの伝熱ガス、例えばHeガスが、ガス供給管54及びサセプタ12内部のガス通路56を介して静電チャック40とウェハWの間に供給される。
本実施の形態に係るマスクパターンの形成方法及び半導体装置の製造方法も、図3及び図8を用いて説明した、第1の実施の形態に係る方法と同様にすることができる。ただし、本実施の形態では、温度分布調整部を有していないプラズマ処理装置100aを用いて行うため、第1のパターン形成工程(ステップS13)及び被エッチング膜エッチング工程(ステップS20)のいずれにおいても、ウェハWの面内における温度分布を調整しない。
本実施の形態でも、微細なマスクパターンをSWPの手法により形成するときに、側壁部116aとなる酸化シリコン膜116を成膜する前に、側壁部116aの芯材となる第2のライン部114aに電子を照射することによって、第2のライン部114aを改質する。これにより、酸化シリコン膜116を成膜する際、及びその酸化シリコン膜116をエッチバック処理する際に、レジスト膜115よりなる芯材である第2のライン部114aの変形を防止できる。
本実施の形態も、第1のパターン形成工程(ステップS13)において、第1のライン部115aをトリミング処理しない場合に適用可能であり、トリミング処理する場合と同様の作用効果を奏する。また、本実施の形態でも、フォトリソグラフィ工程(ステップS12)の後、第1のパターン形成工程(ステップS13)の前に電子を照射してもよい。
以上、本発明の好ましい実施の形態について記述したが、本発明はかかる特定の実施の形態に限定されるものではなく、特許請求の範囲内に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。
110 ウェハ
112 被エッチング膜
113 マスク膜
114 反射防止膜
114a 第2のライン部
115 レジスト膜
115a 第1のライン部
116 酸化シリコン膜
116a 第3のライン部(側壁部)

Claims (7)

  1. 基板上に反射防止膜を介して形成されたレジスト膜よりなる第1のライン部をマスクとして前記反射防止膜をエッチングすることによって、前記レジスト膜と前記反射防止膜とよりなる第2のライン部を含むパターンを形成する第1のパターン形成工程と、
    前記レジスト膜に電子を照射する照射工程と、
    前記第1のパターン形成工程及び前記照射工程の後、前記第2のライン部を等方的に被覆するように酸化シリコン膜を成膜する酸化シリコン膜成膜工程と、
    前記酸化シリコン膜を、前記第2のライン部の上部から除去するとともに、前記第2のライン部の側壁部として残存するように、前記酸化シリコン膜をエッチバック処理するエッチバック処理工程と、
    前記エッチバック処理工程の後、前記第2のライン部をアッシング処理することによって、前記酸化シリコン膜よりなり、前記側壁部として残存する第3のライン部を含むマスクパターンを形成する第2のパターン形成工程と
    を有する、マスクパターンの形成方法。
  2. 前記照射工程は、前記第1のパターン形成工程の後、前記第2のライン部に含まれている前記レジスト膜に電子を照射するものである、請求項1に記載のマスクパターンの形成方法。
  3. 前記第1のパターン形成工程は、前記第1のライン部に電子を照射しながら、前記反射防止膜をエッチングするものである、請求項1又は請求項2に記載のマスクパターンの形成方法。
  4. 前記第1のパターン形成工程は、前記第1のライン部をマスクとして前記反射防止膜をエッチングするとともに、前記第1のライン部をトリミングすることによって、前記第1のライン部の線幅よりも小さな線幅を有し、前記レジスト膜と前記反射防止膜とよりなる前記第2のライン部を含むパターンを形成するものである、請求項1から請求項3のいずれかに記載のマスクパターンの形成方法。
  5. 前記第1のパターン形成工程は、前記基板の面内における温度分布を調整することによって、前記基板の面内における前記第2のライン部の線幅の分布を制御するものである、請求項1から請求項4のいずれかに記載のマスクパターンの形成方法。
  6. 基板上に、被エッチング膜、マスク膜、前記反射防止膜及び前記レジスト膜を積層する積層工程と、
    フォトリソグラフィ技術を用いて、前記レジスト膜よりなる前記第1のライン部を形成するフォトリソグラフィ工程と、
    請求項1から請求項5のいずれかに記載のマスクパターンの形成方法により、前記マスクパターンを形成するマスクパターン形成工程と、
    形成された前記マスクパターンを用いて前記マスク膜をエッチングすることによって、前記マスク膜よりなる第4のライン部を形成するマスク膜エッチング工程と、
    形成された前記第4のライン部をマスクとして前記被エッチング膜をエッチングすることによって、前記被エッチング膜よりなる第5のライン部を形成する被エッチング膜エッチング工程と
    を有する、半導体装置の製造方法。
  7. 前記被エッチング膜エッチング工程は、前記基板の面内における温度分布を調整することによって、前記基板の面内における前記第5のライン部の線幅の分布を制御するものである、請求項6に記載の半導体装置の製造方法。
JP2010085956A 2010-04-02 2010-04-02 マスクパターンの形成方法及び半導体装置の製造方法 Active JP4733214B1 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2010085956A JP4733214B1 (ja) 2010-04-02 2010-04-02 マスクパターンの形成方法及び半導体装置の製造方法
US13/638,662 US20130023120A1 (en) 2010-04-02 2011-03-28 Method of forming mask pattern and method of manufacturing semiconductor device
PCT/JP2011/057618 WO2011125605A1 (ja) 2010-04-02 2011-03-28 マスクパターンの形成方法及び半導体装置の製造方法
CN201180018012.1A CN102822943B (zh) 2010-04-02 2011-03-28 掩模图案的形成方法以及半导体装置的制造方法
KR1020127028853A KR101427505B1 (ko) 2010-04-02 2011-03-28 마스크 패턴의 형성 방법 및 반도체 장치의 제조 방법
TW100111020A TWI450317B (zh) 2010-04-02 2011-03-30 光罩圖案之形成方法及半導體裝置之製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010085956A JP4733214B1 (ja) 2010-04-02 2010-04-02 マスクパターンの形成方法及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP4733214B1 true JP4733214B1 (ja) 2011-07-27
JP2011216817A JP2011216817A (ja) 2011-10-27

Family

ID=44461724

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010085956A Active JP4733214B1 (ja) 2010-04-02 2010-04-02 マスクパターンの形成方法及び半導体装置の製造方法

Country Status (6)

Country Link
US (1) US20130023120A1 (ja)
JP (1) JP4733214B1 (ja)
KR (1) KR101427505B1 (ja)
CN (1) CN102822943B (ja)
TW (1) TWI450317B (ja)
WO (1) WO2011125605A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013047464A1 (ja) * 2011-09-28 2013-04-04 東京エレクトロン株式会社 エッチング方法及び装置

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5905735B2 (ja) * 2012-02-21 2016-04-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014103615A1 (ja) * 2012-12-28 2014-07-03 大日本印刷株式会社 ナノインプリントモールドの製造方法
US8828839B2 (en) * 2013-01-29 2014-09-09 GlobalFoundries, Inc. Methods for fabricating electrically-isolated finFET semiconductor devices
CN104425223B (zh) * 2013-08-28 2017-11-03 中芯国际集成电路制造(上海)有限公司 图形化方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6366454B2 (ja) * 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
JP6382055B2 (ja) * 2014-10-07 2018-08-29 東京エレクトロン株式会社 被処理体を処理する方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105826197A (zh) * 2015-01-08 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US9589964B1 (en) 2015-06-24 2017-03-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6537473B2 (ja) * 2015-10-06 2019-07-03 東京エレクトロン株式会社 被処理体を処理する方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
JP6236481B2 (ja) * 2016-02-17 2017-11-22 東京エレクトロン株式会社 パターン形成方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6770848B2 (ja) * 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6757624B2 (ja) * 2016-08-12 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6804280B2 (ja) * 2016-12-07 2020-12-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
JP7011947B2 (ja) * 2018-01-29 2022-02-10 東京エレクトロン株式会社 アッシング装置、アッシング方法及びコンピュータ読み取り可能な記録媒体
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) * 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111524855B (zh) * 2019-02-02 2023-05-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1098162A (ja) * 1996-09-20 1998-04-14 Hitachi Ltd 半導体集積回路装置の製造方法
US6423475B1 (en) * 1999-03-11 2002-07-23 Advanced Micro Devices, Inc. Sidewall formation for sidewall patterning of sub 100 nm structures
US6632587B2 (en) * 2001-05-22 2003-10-14 Macronix International Co., Ltd. Method of enhancing photoresist anti-etching ability
US6811956B1 (en) * 2002-06-24 2004-11-02 Advanced Micro Devices, Inc. Line edge roughness reduction by plasma treatment before etch
JP4574257B2 (ja) 2004-07-15 2010-11-04 富士フイルム株式会社 大口径広角レンズ
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
JP4673173B2 (ja) * 2005-09-15 2011-04-20 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US20090087990A1 (en) * 2007-09-28 2009-04-02 Tokyo Electron Limited Manufacturing method, manufacturing apparatus, control program and program recording medium of semiconductor device
JP5236983B2 (ja) * 2007-09-28 2013-07-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
KR100948093B1 (ko) * 2007-12-21 2010-03-16 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
TWI452419B (zh) * 2008-01-28 2014-09-11 Az Electronic Mat Ip Japan Kk 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
US8019458B2 (en) * 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
JP5264374B2 (ja) * 2008-09-02 2013-08-14 東京エレクトロン株式会社 パターン形状検査方法及び半導体装置の製造方法
US20100081285A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013047464A1 (ja) * 2011-09-28 2013-04-04 東京エレクトロン株式会社 エッチング方法及び装置
KR20140068118A (ko) * 2011-09-28 2014-06-05 도쿄엘렉트론가부시키가이샤 에칭 방법 및 장치
JPWO2013047464A1 (ja) * 2011-09-28 2015-03-26 東京エレクトロン株式会社 エッチング方法及び装置
US9263283B2 (en) 2011-09-28 2016-02-16 Tokyo Electron Limited Etching method and apparatus
KR101982366B1 (ko) * 2011-09-28 2019-05-24 도쿄엘렉트론가부시키가이샤 에칭 방법 및 장치

Also Published As

Publication number Publication date
CN102822943B (zh) 2015-10-21
TW201216324A (en) 2012-04-16
KR20120132693A (ko) 2012-12-07
KR101427505B1 (ko) 2014-08-07
TWI450317B (zh) 2014-08-21
CN102822943A (zh) 2012-12-12
WO2011125605A1 (ja) 2011-10-13
US20130023120A1 (en) 2013-01-24
JP2011216817A (ja) 2011-10-27

Similar Documents

Publication Publication Date Title
JP4733214B1 (ja) マスクパターンの形成方法及び半導体装置の製造方法
US20180158684A1 (en) Method of processing target object
TWI458014B (zh) 用以控制多層遮罩之圖案臨界尺寸與完整性的蝕刻製程
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
US11658036B2 (en) Apparatus for processing substrate
US9911622B2 (en) Method of processing target object
JP5349066B2 (ja) Cdバイアスの減少したシリコン含有反射防止コーティング層のエッチング方法
US9607811B2 (en) Workpiece processing method
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
JP6017928B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2014225501A (ja) プラズマエッチング方法及びプラズマエッチング装置
US20150099366A1 (en) Plasma etching method
US11823903B2 (en) Method for processing workpiece
JP5064319B2 (ja) プラズマエッチング方法、制御プログラム及びコンピュータ記憶媒体
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
US20140302684A1 (en) Etching method and apparatus
US10714340B2 (en) Method for processing workpiece
JP2006032908A (ja) 半導体装置の製造方法
KR101097025B1 (ko) 플라즈마 처리 방법 및 컴퓨터 판독 가능한 기억 매체
JP2008172184A (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US7883631B2 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
US20070197040A1 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
US11328934B2 (en) Etching method and substrate processing apparatus
KR20230044309A (ko) 저-응력 탄소-함유 층들의 증착

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110412

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110421

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140428

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4733214

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250