JP5236983B2 - 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体 - Google Patents

半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体 Download PDF

Info

Publication number
JP5236983B2
JP5236983B2 JP2008107467A JP2008107467A JP5236983B2 JP 5236983 B2 JP5236983 B2 JP 5236983B2 JP 2008107467 A JP2008107467 A JP 2008107467A JP 2008107467 A JP2008107467 A JP 2008107467A JP 5236983 B2 JP5236983 B2 JP 5236983B2
Authority
JP
Japan
Prior art keywords
pattern
film
semiconductor device
etching
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008107467A
Other languages
English (en)
Other versions
JP2009099938A (ja
Inventor
浩一 八田
栄一 西村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008107467A priority Critical patent/JP5236983B2/ja
Priority to KR1020080056158A priority patent/KR100967924B1/ko
Priority to TW097130967A priority patent/TWI389202B/zh
Priority to US12/284,749 priority patent/US20090087990A1/en
Publication of JP2009099938A publication Critical patent/JP2009099938A/ja
Application granted granted Critical
Publication of JP5236983B2 publication Critical patent/JP5236983B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

本発明は、フォトレジスト膜を露光、現像して得られたフォトレジストの第1パターンに基づいて、基板上の被エッチング層を所定のパターンにエッチングして、半導体装置を製造する半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体に関する。
従来から、半導体装置等の製造工程においては、半導体ウエハ等の基板にプラズマエッチング等のエッチング処理を施して、微細な回路パターン等を形成することが行われている。このようなエッチング処理工程では、フォトレジストを用いたフォトリソグラフィー工程によって、エッチングマスクを形成することが行われている。
このようなフォトリソグラフィー工程では、形成するパターンの微細化に対応するため、種々の技術が開発されている。その一つとして、所謂ダブルパターニングがある。このダブルパターニングは、第1のマスクパターン形成ステップと、この第1のマスクパターン形成ステップの後に行われる第2のマスクパターン形成ステップの2段階のパターニングを行うことによって、1回のパターニングでエッチングマスクを形成する場合より微細な間隔のエッチングマスクを形成できるようにしたものである(例えば、特許文献1参照。)。
また、例えばSiO2膜やSi34膜等を犠牲膜として使用し、1つパターンの両側側壁部分にマスクを形成して使用するSWT(side wall transfer)法を用いて、最初にフォトレジスト膜を露光、現像して得られたフォトレジストのパターンよりも微細なピッチでパターニングを行うことも知られている。すなわち、この方法では、まずフォトレジストのパターンを用いて例えばSiO2膜の犠牲膜をエッチングしてパターニングし、このSiO2膜のパターンの上にSi34膜等を形成した後、SiO2膜の側壁部分にのみSi34膜が残るようにエッチバックし、この後、ウエットエッチングによりSiO2膜を除去して、残ったSi34膜をマスクとして、下層のエッチングを行うものである。
また、成膜技術においては、より低温で成膜することが要求される場合があり、このように低温で成膜する技術としては、加熱触媒体で成膜ガスを活性化させた化学気相成長によって行う方法が知られている(例えば、特許文献2参照。)。
特開2007−027742号公報 特開2006−179819号公報
上記したとおり、従来技術においては、工程数が多くなり、工程が複雑化するとともに製造コストが増大し、生産性が悪化するという課題がある。また、従来のSWT法では、ウエットエッチング工程が必要であるため、ドライエッチングとウエットエッチングが混在する工程となり、工程が煩雑化する要因となっている。
本発明は、かかる従来の事情に対処してなされたもので、従来に比べて工程の簡略化と製造コストの低減を図ることができ、生産性の向上を図ることのできる半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体を提供しようとするものである。
請求項1の半導体装置の製造方法は、基板上の被エッチング層を所定のパターンにエッチングして、半導体装置を製造する半導体装置の製造方法であって、フォトレジストからなる複数のライン状の第1パターンを形成する第1パターン形成工程と、前記第1パターンの上にSiO2膜を成膜する第1成膜工程と、前記SiO2膜を前記フォトレジストの第1パターンの側壁部にのみ残るようにエッチングする第1エッチング工程と、前記第1パターンを除去して前記SiO2膜の第2パターンを形成する第2パターン形成工程と、前記第2パターンをマスクとして下層の第1マスク構成層をエッチングする第2エッチング工程と、前記第1パターンと直交する方向に、フォトレジストの複数のライン状のパターンからなる第3パターンを形成する工程と、前記第3パターンの上にSiO2膜を成膜する第2成膜工程と、前記SiO2膜を前記第3パターンの側壁部にのみ残るようにエッチングする第3エッチング工程と、前記第3パターンを除去して前記SiO2膜の第4パターンを形成する第4パターン形成工程と、前記第4パターン及び前記第1マスク構成層をマスクとして、下層の第2マスク構成層をエッチングする第4エッチング工程と、前記第1マスク構成層と前記第2マスク構成層をマスクとして、前記被エッチング層にホール形状を形成する第5エッチング工程とを具備し、前記第1及び第2成膜工程を、加熱触媒体で成膜ガスを活性化させた化学気相成長によって行うことを特徴とする。
請求項の半導体装置の製造方法は、請求項記載の半導体装置の製造方法であって、前記第1成膜工程の前に、前記第1パターンをトリミングするとともに、下層の有機材料からなる反射防止膜をエッチングする工程と、前記第2成膜工程の前に、前記第3パターンをトリミングするとともに、下層の有機材料からなる反射防止膜をエッチングする工程とを具備したことを特徴とする。
請求項の半導体装置の製造方法は、請求項1又は2項記載の半導体装置の製造方法であって、前記第1マスク構成層がシリコンからなり、前記第2マスク構成層が窒化シリコンからなることを特徴とする。
請求項の半導体装置の製造装置は、基板上の被エッチング層を所定のパターンにエッチングして、半導体装置を製造する半導体装置の製造装置であって、前記基板を収容する処理チャンバーと、前記処理チャンバー内に処理ガスを供給する処理ガス供給手段と、前記処理チャンバー内で請求項1から請求項いずれか1項記載の半導体装置の製造方法が行われるように制御する制御部とを備えたことを特徴とする。
請求項の制御プログラムは、コンピュータ上で動作し、実行時に、請求項1から請求項いずれか1項記載の半導体装置の製造方法が行われるよう半導体装置の製造装置を制御することを特徴とする。
請求項のプログラム記憶媒体は、コンピュータ上で動作する制御プログラムが記憶されプログラム記憶媒体であって、前記制御プログラムは、実行時に請求項1から請求項いずれか1項記載の半導体装置の製造方法が行われるように半導体装置の製造装置を制御することを特徴とする。
本発明によれば、従来に比べて工程の簡略化と製造コストの低減を図ることができ、生産性の向上を図ることのできる半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体を提供することができる。
以下、本発明の一実施形態について図面を参照して説明する。
図1は、本発明の第1実施形態に係る半導体ウエハの一部を拡大して模式的に示し、第1実施形態にかかる半導体装置の製造方法の工程を示すものである。図1(a)に示すように、この第1実施形態では、パターニングを目的とする被エッチング層としてのポリシリコン層101の上には、有機材料からなる反射防止膜(BARC)102が形成されており、この反射防止膜(BARC)102の上にフォトレジスト103が形成されている。フォトレジスト103は、露光、現像工程により、パターニングされ、所定形状を有するパターンとされている。なお、図1において100は、ポリシリコン層101の下側に設けられた下地層を示している。
図1(b)は、上記のフォトレジスト103をトリミングして線幅を細くするとともに、反射防止膜(BARC)102をエッチングした状態を示している。このフォトレジスト103のトリミング及び反射防止膜(BARC)102のエッチングを行う工程は、例えば、酸素プラズマ等を用いたプラズマエッチングにより行うことができる。
次に、図1(c)に示すように、SiO2膜104を成膜する。この成膜工程では、フォトレジスト103の上に成膜を行うが、一般的にフォトレジスト103は、高温に晒されると倒れを生じる等、高温に弱いので、低温(例えば300℃以下程度)で成膜することが好ましい。この場合、加熱触媒体で成膜ガスを活性化させた化学気相成長によって行うことができる。
次に、図1(d)に示すように、SiO2膜104をエッチングし、SiO2膜104が、フォトレジスト103のパターンの側壁部にのみ残った状態とする。このエッチングは、例えば、CF4、C48、CHF3、CH3F、CH22等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うことができる。
次に、図1(e)に示すように、酸素プラズマを用いたアッシング等により、フォトレジスト103のパターンを除去し、側壁部に残ったSiO2膜104によるパターンを形成する。
そして、図1(f)に示すように、上記のSiO2膜104によるパターンをマスクとして、下層のポリシリコン層101をエッチングする。このエッチングは、例えば、HBrガス等を用いて行うことができる。
上記の第1実施形態では、犠牲膜を用いることなく、SWT法による微細なパターンの形成を行うことができる。また、工程の途中でウエットエッチングを行うことなく、エッチング工程は全てドライエッチング工程によって実施できる。したがって、従来に比べて工程の簡略化と製造コストの低減を図ることができ、生産性の向上を図ることができる。
実際に、図1(c)に示す工程で加熱触媒体で成膜ガスを活性化させた化学気相成長によって厚さ約35nmのSiO2膜104を成膜し、対向電極の上部電極と下部電極とに高周波電力を供給してプラズマエッチングを行う装置を用い、以下の条件で各工程のエッチングを行ったところ、ポリシリコン層101(厚さ約100nm(下地層が酸化膜))を良好な形状にパターニングすることができた。
(図1(b),(e)のフォトレジスト103、反射防止膜102のエッチング)
エッチングガス:O2(374sccm)
圧力:13.3Pa(100mTorr)
電力:600W(上部)/30W(下部)
(図1(d)のSiO2膜104のエッチング)
エッチングガス:Ar/C48(500sccm/20sccm)
圧力:5.3Pa(40mTorr)
電力:600W(上部)/100W(下部)
(図1(f)のポリシリコン層101のエッチング)
(メインエッチング)
エッチングガス:HBr/O2(400sccm/2sccm)
圧力:4.0Pa(30mTorr)
電力:200W(上部)/150W(下部)
(オーバーエッチング)
エッチングガス:HBr/O2(934sccm/4sccm)
圧力:20.0Pa(150mTorr)
電力:650W(上部)/200W(下部)
図2は、上記した第1実施形態におけるポリシリコン層101と反射防止膜(BARC)102との間に、他の膜、例えばSi34膜120が形成されている第2実施形態の半導体装置の製造工程を示すものである。この第2実施形態の場合、図1に示した第1実施形態の場合と同様にして図2(a)〜(e)の工程を行う。そしてこの後、SiO2膜104によるパターンをマスクとして、下層のSi34膜120をエッチングし(f)、このSi34膜120等をマスクとしてポリシリコン層101をエッチングする(g)。なお、図2の場合において、Si34膜120に代えてSiON(酸窒化シリコン)膜を用いてもよい。
図3は、第3実施形態の半導体装置の製造方法の工程を示すものである。図3(a)に示すように、この第3実施形態では、例えば、酸化膜、窒化膜、ポリシリコン等からなり、パターニングを目的とする被エッチング層131の上には、有機膜132が形成されており、この有機膜132の上に、無機材料からなる反射防止膜としてSOG膜(又はLTO膜)133が形成されており、このSOG膜(又はLTO膜)133の上にフォトレジスト134が形成されている。フォトレジスト134は、露光、現像工程により、パターニングされ、所定形状を有するパターンとされている。
図3(b)は、上記のフォトレジスト134をトリミングして線幅を細くした状態を示している。このフォトレジスト134のトリミングを行う工程は、例えば、酸素プラズマ等を用いたプラズマエッチングにより行うことができる。なお、このトリミング工程は、必要に応じて行うものであり、フォトレジスト134が所望の線幅となっている場合は、省略される。
次に、図3(c)に示すように、SiO2膜135を成膜する。この成膜工程では、フォトレジスト134の上に成膜を行うため、前述したように、低温(例えば300℃以下程度)で成膜することが好ましく、加熱触媒体で成膜ガスを活性化させた化学気相成長等によって行うことができる。
次に、図3(d)に示すように、SiO2膜135をエッチングし、SiO2膜135が、フォトレジスト134のパターンの側壁部にのみ残った状態とする。このエッチングは、例えば、CF4、C48、CHF3、CH3F、CH22等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うことができる。
次に、図3(e)に示すように、酸素プラズマを用いたアッシング等により、フォトレジスト134のパターンを除去し、側壁部に残ったSiO2膜135によるパターンを形成する。
この後、図3(f)に示すように、上記のSiO2膜135によるパターンをマスクとして、下層のSOG膜(又はLTO膜)133をエッチングし、さらに、図3(g)に示すように、下層の有機膜132をエッチングする。そして、パターニングされた有機膜132を含むマスクを介して下層の被エッチング層131をエッチングする。この場合被エッチング層131は、ポリシリコン等の他、酸化膜、窒化膜等の無機材料からなる膜であってもよい。なお、SOG膜(又はLTO膜)133のエッチングは、前述したCF系ガス等からなる混合ガスを用いて行うことができ、有機膜132のエッチングは、酸素又は窒素等のガスを用いて行うことができる。
図4は、上記した第3実施形態におけるSOG膜(又はLTO膜)133の代わりに反射防止膜としてSiON膜140が形成されている第4実施形態の半導体装置の製造工程を示すものである。この第4実施形態の場合、図3に示した第3実施形態の場合の図3(a)〜(g)の工程と同様にして図4(a)〜(g)の工程を行う。
次に、図6乃至10を参照して、第5実施形態について説明する。図6(a)に示すように、この第5実施形態では、パターニングを目的とする被エッチング層としての酸化シリコン層500の上には、第2マスク構成層としての窒化シリコン層501が形成されている。この窒化シリコン層501の上には、第1マスク構成層としてのアモルファスシリコン層502が形成されている。このアモルファスシリコン層502は、ポリシリコン層であってもよい。このアモルファスシリコン層502の上に、有機材料からなる反射防止膜(BARC)503が形成されている。そして、この反射防止膜(BARC)503の上にフォトレジスト504が形成されている。フォトレジスト504は、露光、現像工程により、パターニングされ、複数のライン形状を有する所定パターン(第1パターン)とされている。このフォトレジスト504のライン形状のパターンは、例えば、ラインの幅(線幅)が60nm、ラインとラインとの間の間隔が60nm等とされる。
図6(b)は、上記のフォトレジスト504をトリミングして線幅を細くする(例えば、30nmとする)とともに、反射防止膜(BARC)503をエッチングした状態を示している。このフォトレジスト504のトリミング及び反射防止膜(BARC)503のエッチングを行う工程は、例えば、酸素プラズマ等を用いたプラズマエッチングにより行うことができる。
次に、図6(c)に示すように、フォトレジスト504の上に、SiO2膜505を成膜する第1成膜工程を行う。この成膜工程は、前述した実施形態と同様に、加熱触媒体で成膜ガスを活性化させた化学気相成長等によって行う。
次に、図6(d)に示すように、SiO2膜505をエッチングし、SiO2膜505が、フォトレジスト504のパターンの側壁部にのみ残った状態とする第1エッチング工程を行う。このエッチングは、例えば、CF4、C48、CHF3、CH3F、CH22等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うことができる。
次に、図6(e)に示すように、酸素プラズマを用いたアッシング等により、フォトレジスト504のパターンを除去して、側壁部に残ったSiO2膜505によるパターン(第2パターン)を形成する第2パターン形成工程を行い、このSiO2膜505によるパターンをマスクとしてアモルファスシリコン層502をエッチングする第2エッチング工程を行う。アモルファスシリコン層502のエッチングは、例えば、HBrガス等を用いて行うことができる。
そして、図6(f)に示すように、エッチングマスクとして使用したSiO2膜505を除去する。以上の工程によって、図7の平面図に示すように、半導体ウエハを上から見たときに、アモルファスシリコン層502がライン状(線幅例えば30nm、間隔例えば30nm)に形成され、これらのアモルファスシリコン層502の間に、下層の窒化シリコン層501が露出した状態となる。なお、図6(f)は、図7の一点鎖線で示すA断面の断面図である。
次に、上記した図6(f)の状態から、図8(B1)、(C1)に示すように、反射防止膜(BARC)513を形成し、その上に塗布、露光、現像工程によってパターニングしたフォトレジスト514(第3パターン)を形成する第3パターン形成工程を行う。このフォトレジスト514は、図7に示したライン状のアモルファスシリコン層502と直行する方向のライン状のパターンで、例えば、ラインの幅(線幅)が60nm、ラインとラインとの間の間隔が60nmのパターンからなる。なお、図8の左側には、後述する図9に示す平面図におけるB断面、図8の右側には、C断面を示してある。
図8(B2),(C2)は、上記のフォトレジスト514をトリミングして線幅を細くする(例えば、30nmとする)とともに、反射防止膜(BARC)513をエッチングした状態を示している。このフォトレジスト514のトリミング及び反射防止膜(BARC)513のエッチングを行う工程は、例えば、酸素プラズマ等を用いたプラズマエッチングにより行うことができる。
次に、図8(B3),(C3)に示すように、SiO2膜515を成膜する第2成膜工程を行う。この成膜工程は、前述した実施形態と同様に、例えば、加熱触媒体で成膜ガスを活性化させた化学気相成長等によって行う。
次に、図8(B4),(C4)に示すように、SiO2膜515をエッチングし、SiO2膜515が、フォトレジスト514のパターンの側壁部にのみ残った状態とする第3エッチング工程を行う。このエッチングは、例えば、CF4、C48、CHF3、CH3F、CH22等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うことができる。
次に、図8(B5),(C5)に示すように、酸素プラズマを用いたアッシング等により、フォトレジスト514のパターンを除去して、側壁部に残ったSiO2膜515によるパターン(第4パターン)を形成する第4パターン形成工程を行う。
次に、図8(B6),(C6)に示すように、SiO2膜515によるパターン及びアモルファスシリコン層502をマスクとして、窒化シリコン層501をエッチングする第4エッチング工程を行う。窒化シリコン層501のエッチングは、例えば、例えば、CF4、C48、CHF3、CH3F、CH22等のCF系ガスと、Arガス等の混合ガス、またはこの混合ガスに必要に応じて酸素を添加したガス等を用いて行うことができる。この状態では、図9の平面図に示すように、半導体ウエハを上から見たときに、ライン状のSiO2膜515と、このライン状のSiO2膜515の間の矩形状のアモルファスシリコン層502に囲まれて矩形状に酸化シリコン層500が露出した領域が形成された状態となっている。
次に、図10に示すように、SiO2膜515を除去するとともに、アモルファスシリコン層502及び窒化シリコン層501をマスクとして、酸化シリコン層500をエッチングする第5エッチング工程を行う。以上の工程によって、図10に示すように、酸化シリコン層500にシリコンウエハWの表面が露出するホール形状を形成する。なお、図10(a)は平面図、図10(b)は、図10(a)に示す一点鎖線Bに沿った断面図、図10(c)は、図10(a)に示す一点鎖線Cに沿った断面図である。
上記の第5実施形態によれば、例えば、1辺が30nm等の微細なホール形状のパターンを形成することができる。
図5は、上記の半導体装置の製造方法を実施するための半導体装置の製造装置の構成の一例を模式的に示す上面図である。半導体装置の製造装置1の中央部分には、真空搬送チャンバ10が設けられており、この真空搬送チャンバ10に沿って、その周囲には、複数(本実施形態では6個)の処理チャンバ11〜16が配設されている。これらの処理チャンバーは、内部でプラズマエッチング及び加熱触媒体で成膜ガスを活性化させた化学気相成長を行うものである。
真空搬送チャンバ10の手前側(図中下側)には、2つのロードロックチャンバ17が設けられ、これらのロードロックチャンバ17のさらに手前側(図中下側)には、大気中で基板(本実施形態では半導体ウエハW)を搬送するための搬送チャンバ18が設けられている。また、搬送チャンバ18のさらに手前側(図中下側)には、複数枚の半導体ウエハWを収容可能とされた基板収容ケース(カセット又はフープ)が配置される載置部19が複数(図5では3つ)設けられており、搬送チャンバ18の側方(図中左側)には、オリエンテーションフラット或いはノッチにより半導体ウエハWの位置を検出するオリエンタ20が設けられている。
ロードロックチャンバ17と搬送チャンバ18との間、ロードロックチャンバ17と真空搬送チャンバ10との間、真空搬送チャンバ10と処理チャンバ11〜16との間には、夫々ゲートバルブ22が設けられ、これらの間を気密に閉塞及び開放できるようになっている。また、真空搬送チャンバ10内には真空搬送機構30が設けられている。この真空搬送機構30は、第1のピック31と第2のピック32を具備し、これらによって2枚の半導体ウエハWを支持可能に構成されており、各処理チャンバ11〜16、ロードロック室17に、半導体ウエハWを搬入、搬出できるよう構成されている。
また、搬送チャンバ18内には、大気搬送機構40が設けられている。この大気搬送機構40は、第1のピック41と第2のピック42とを具備しており、これらによって2枚の半導体ウエハWを支持可能に構成されている。大気搬送機構40は、載置部19に載置された各カセット又はフープ、ロードロック室17、オリエンタ20に半導体ウエハWを搬入、搬出できるよう構成されている。
上記構成の半導体装置の製造装置1は、制御部60によって、その動作が統括的に制御される。この制御部60には、CPUを備え半導体装置の製造装置1の各部を制御するプロセスコントローラ61と、ユーザインターフェース部62と、記憶部63とが設けられている。
ユーザインターフェース部62は、工程管理者が半導体装置の製造装置1を管理するためにコマンドの入力操作を行うキーボードや、半導体装置の製造装置1の稼働状況を可視化して表示するディスプレイ等から構成されている。
記憶部63には、半導体装置の製造装置1で実行される各種処理をプロセスコントローラ61の制御にて実現するための制御プログラム(ソフトウエア)や処理条件データ等が記憶されたレシピが格納されている。そして、必要に応じて、ユーザインターフェース部62からの指示等にて任意のレシピを記憶部63から呼び出してプロセスコントローラ61に実行させることで、プロセスコントローラ61の制御下で、半導体装置の製造装置1での所望の処理が行われる。また、制御プログラムや処理条件データ等のレシピは、コンピュータで読取り可能なプログラム記憶媒体(例えば、ハードディスク、CD、フレキシブルディスク、半導体メモリ等)などに格納された状態のものを利用したり、或いは、他の装置から、例えば専用回線を介して随時伝送させてオンラインで利用したりすることも可能である。
上記構成の半導体装置の製造装置1を用いて、第1〜5実施形態に示した一連の工程を実施することができる。なお、成膜工程については、一旦半導体ウエハWを上記の半導体装置の製造装置1から搬出して他の装置によって行ってもよい。また、フォトレジストの塗布、露光、現像工程については、他の塗布装置、露光装置、現像装置によって行う。
本発明の第1実施形態の工程を模式的に示す図。 本発明の第2実施形態の工程を模式的に示す図。 本発明の第3実施形態の工程を模式的に示す図。 本発明の第4実施形態の工程を模式的に示す図。 本発明の一実施形態に使用する装置の概略構成を模式的に示す図。 本発明の第5実施形態の工程を模式的に示す図。 本発明の第5実施形態の工程における平面構成を模式的に示す図。 本発明の第5実施形態の工程を模式的に示す図。 本発明の第5実施形態の工程における平面構成を模式的に示す図。 本発明の第5実施形態の工程における平面構成及び断面構成を模式的に示す図。
符号の説明
100……下地層、101……ポリシリコン層、102……反射防止膜(BARC)、103……フォトレジスト、104……SiO2膜。

Claims (6)

  1. 基板上の被エッチング層を所定のパターンにエッチングして、半導体装置を製造する半導体装置の製造方法であって、
    フォトレジストからなる複数のライン状の第1パターンを形成する第1パターン形成工程と、
    前記第1パターンの上にSiO2膜を成膜する第1成膜工程と、
    前記SiO2膜を前記フォトレジストの第1パターンの側壁部にのみ残るようにエッチングする第1エッチング工程と、
    前記第1パターンを除去して前記SiO2膜の第2パターンを形成する第2パターン形成工程と、
    前記第2パターンをマスクとして下層の第1マスク構成層をエッチングする第2エッチング工程と、
    前記第1パターンと直交する方向に、フォトレジストの複数のライン状のパターンからなる第3パターンを形成する工程と、
    前記第3パターンの上にSiO2膜を成膜する第2成膜工程と、
    前記SiO2膜を前記第3パターンの側壁部にのみ残るようにエッチングする第3エッチング工程と、
    前記第3パターンを除去して前記SiO2膜の第4パターンを形成する第4パターン形成工程と、
    前記第4パターン及び前記第1マスク構成層をマスクとして、下層の第2マスク構成層をエッチングする第4エッチング工程と、
    前記第1マスク構成層と前記第2マスク構成層をマスクとして、前記被エッチング層にホール形状を形成する第5エッチング工程と
    を具備し
    前記第1及び第2成膜工程を、加熱触媒体で成膜ガスを活性化させた化学気相成長によって行う
    ことを特徴とする半導体装置の製造方法。
  2. 請求項記載の半導体装置の製造方法であって、
    前記第1成膜工程の前に、前記第1パターンをトリミングするとともに、下層の有機材料からなる反射防止膜をエッチングする工程と、
    前記第2成膜工程の前に、前記第3パターンをトリミングするとともに、下層の有機材料からなる反射防止膜をエッチングする工程と
    を具備したことを特徴とする半導体装置の製造方法。
  3. 請求項1又は2項記載の半導体装置の製造方法であって、
    前記第1マスク構成層がシリコンからなり、前記第2マスク構成層が窒化シリコンからなることを特徴とする半導体装置の製造方法。
  4. 基板上の被エッチング層を所定のパターンにエッチングして、半導体装置を製造する半導体装置の製造装置であって、
    前記基板を収容する処理チャンバーと、
    前記処理チャンバー内に処理ガスを供給する処理ガス供給手段と、
    前記処理チャンバー内で請求項1から請求項いずれか1項記載の半導体装置の製造方法が行われるように制御する制御部と
    を備えたことを特徴とする半導体装置の製造装置。
  5. コンピュータ上で動作し、実行時に、請求項1から請求項いずれか1項記載の半導体装置の製造方法が行われるよう半導体装置の製造装置を制御することを特徴とする制御プログラム。
  6. コンピュータ上で動作する制御プログラムが記憶されプログラム記憶媒体であって、
    前記制御プログラムは、実行時に請求項1から請求項いずれか1項記載の半導体装置の製造方法が行われるように半導体装置の製造装置を制御することを特徴とするプログラム記憶媒体。
JP2008107467A 2007-09-28 2008-04-17 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体 Expired - Fee Related JP5236983B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2008107467A JP5236983B2 (ja) 2007-09-28 2008-04-17 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
KR1020080056158A KR100967924B1 (ko) 2007-09-28 2008-06-16 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및,프로그램 기억 매체
TW097130967A TWI389202B (zh) 2007-09-28 2008-08-14 半導體裝置之製造方法、半導體裝置之製造裝置、控制程式及程式記憶媒體
US12/284,749 US20090087990A1 (en) 2007-09-28 2008-09-24 Manufacturing method, manufacturing apparatus, control program and program recording medium of semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007253409 2007-09-28
JP2007253409 2007-09-28
JP2008107467A JP5236983B2 (ja) 2007-09-28 2008-04-17 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体

Publications (2)

Publication Number Publication Date
JP2009099938A JP2009099938A (ja) 2009-05-07
JP5236983B2 true JP5236983B2 (ja) 2013-07-17

Family

ID=40517629

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008107467A Expired - Fee Related JP5236983B2 (ja) 2007-09-28 2008-04-17 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体

Country Status (4)

Country Link
JP (1) JP5236983B2 (ja)
KR (1) KR100967924B1 (ja)
CN (1) CN101399189A (ja)
TW (1) TWI389202B (ja)

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5336283B2 (ja) * 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP4815519B2 (ja) * 2009-09-14 2011-11-16 東京エレクトロン株式会社 マスクパターンの形成方法及び半導体装置の製造方法
JP5391055B2 (ja) 2009-12-25 2014-01-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造システム
KR101041742B1 (ko) * 2009-12-30 2011-06-16 광주과학기술원 저항 변화 메모리 소자, 그 제조 방법 및 구동 방법
JPWO2011102140A1 (ja) * 2010-02-19 2013-06-17 東京エレクトロン株式会社 半導体装置の製造方法
JP4733214B1 (ja) * 2010-04-02 2011-07-27 東京エレクトロン株式会社 マスクパターンの形成方法及び半導体装置の製造方法
CN101944483A (zh) * 2010-08-11 2011-01-12 上海集成电路研发中心有限公司 提高光刻胶抗刻蚀能力的方法
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP5473962B2 (ja) 2011-02-22 2014-04-16 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5818710B2 (ja) * 2012-02-10 2015-11-18 東京応化工業株式会社 パターン形成方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
JP5899082B2 (ja) * 2012-08-08 2016-04-06 富士フイルム株式会社 パターン形成方法、及び、これを用いた電子デバイスの製造方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP2014211541A (ja) * 2013-04-18 2014-11-13 Jsr株式会社 微細パターンの形成方法
JP6114622B2 (ja) * 2013-04-26 2017-04-12 東京エレクトロン株式会社 エッチング方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6126961B2 (ja) * 2013-09-30 2017-05-10 富士フイルム株式会社 パターン形成方法、パターンマスクの形成方法及び電子デバイスの製造方法
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9349952B1 (en) 2014-12-08 2016-05-24 Sony Corporation Methods for fabricating a memory device with an enlarged space between neighboring bottom electrodes
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6960839B2 (ja) * 2017-12-13 2021-11-05 東京エレクトロン株式会社 半導体装置の製造方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP2019204815A (ja) * 2018-05-21 2019-11-28 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP2020017569A (ja) 2018-07-23 2020-01-30 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7357528B2 (ja) 2019-12-06 2023-10-06 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
JP7437966B2 (ja) 2020-02-21 2024-02-26 東京エレクトロン株式会社 エッチング方法及びエッチング装置
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0795543B2 (ja) * 1985-10-29 1995-10-11 ソニー株式会社 エツチング方法
JPH0677180A (ja) * 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
US6423475B1 (en) 1999-03-11 2002-07-23 Advanced Micro Devices, Inc. Sidewall formation for sidewall patterning of sub 100 nm structures
JP4126517B2 (ja) * 1999-04-08 2008-07-30 ソニー株式会社 気相加工装置
JP2001160587A (ja) * 1999-12-03 2001-06-12 Hitachi Ltd 半導体集積回路装置の製造方法
JP2001308076A (ja) * 2000-04-27 2001-11-02 Nec Corp 半導体装置の製造方法
KR20030002145A (ko) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 반도체소자의 패턴 형성 방법
JP3775500B2 (ja) * 2002-03-12 2006-05-17 ソニー株式会社 半導体薄膜の形成方法及びその装置、並びに触媒ノズル
KR100476924B1 (ko) * 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
JP2007081160A (ja) * 2005-09-14 2007-03-29 Fujitsu Ltd 半導体装置の製造方法
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits

Also Published As

Publication number Publication date
KR100967924B1 (ko) 2010-07-06
JP2009099938A (ja) 2009-05-07
CN101399189A (zh) 2009-04-01
TWI389202B (zh) 2013-03-11
TW200929358A (en) 2009-07-01
KR20090032938A (ko) 2009-04-01

Similar Documents

Publication Publication Date Title
JP5236983B2 (ja) 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
KR100967925B1 (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및,프로그램 기억 매체
US20090087990A1 (en) Manufacturing method, manufacturing apparatus, control program and program recording medium of semiconductor device
JP5067068B2 (ja) 半導体装置の製造方法及び記憶媒体
US7919414B2 (en) Method for forming fine patterns in semiconductor device
US20090068838A1 (en) Method for forming micropatterns in semiconductor device
US20090068842A1 (en) Method for forming micropatterns in semiconductor device
JP5260861B2 (ja) キャパシタ電極の製造方法と製造システムおよび記録媒体
JPH05136105A (ja) 構造面を選択的にエツチングする方法
JP2010087300A (ja) 半導体装置の製造方法
KR20100098843A (ko) 패턴 형성 방법
US8198183B2 (en) Forming method of etching mask, control program and program storage medium
CN112992669B (zh) 半导体结构及其形成方法
TWI567785B (zh) 半導體裝置圖案化結構之製作方法
JP2008263093A (ja) エッチング方法、エッチングシステムおよびエッチング装置
KR20070113604A (ko) 반도체 소자의 미세패턴 형성방법
JP2009200080A (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
CN112735947A (zh) 半导体结构及其形成方法
CN114388352A (zh) 半导体结构及其形成方法
JP2011171642A (ja) 半導体装置の製造方法
CN107785252B (zh) 双重图形化的方法
CN112786436A (zh) 半导体结构及其形成方法
JP5344824B2 (ja) レジストパターンの形成方法および記録媒体
CN210607186U (zh) 半导体结构
JP5292450B2 (ja) エッチング方法、エッチングシステムおよびエッチング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101215

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110909

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121023

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130308

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130326

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130328

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5236983

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160405

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees