CN102822943B - 掩模图案的形成方法以及半导体装置的制造方法 - Google Patents

掩模图案的形成方法以及半导体装置的制造方法 Download PDF

Info

Publication number
CN102822943B
CN102822943B CN201180018012.1A CN201180018012A CN102822943B CN 102822943 B CN102822943 B CN 102822943B CN 201180018012 A CN201180018012 A CN 201180018012A CN 102822943 B CN102822943 B CN 102822943B
Authority
CN
China
Prior art keywords
line portion
mentioned
film
mask
silicon oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180018012.1A
Other languages
English (en)
Other versions
CN102822943A (zh
Inventor
八重樫英民
五十岚义树
成重和树
武川贵仁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102822943A publication Critical patent/CN102822943A/zh
Application granted granted Critical
Publication of CN102822943B publication Critical patent/CN102822943B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

具有如下工序:第一图案形成工序(S13),通过将由光致抗蚀剂膜构成的第一线部作为掩模对反射防止膜进行蚀刻来形成包括第二线部的图案;照射工序(S14),对光致抗蚀剂膜照射电子;氧化硅膜成膜工序(S15),形成氧化硅膜;回蚀工序(S16),对氧化硅膜进行回蚀,使其作为第二线部的侧壁部残留;以及第二图案形成工序(S18),通过对上述第二线部进行灰化来形成包括由氧化硅膜构成的、作为侧壁部而残留的第三线部的掩模图案。

Description

掩模图案的形成方法以及半导体装置的制造方法
技术领域
本发明涉及一种掩模图案的形成方法以及半导体装置的制造方法。
背景技术
随着半导体装置的高集成化,制造工艺中所要求的布线、分离带区域的尺寸倾向于微细化。通过光刻技术形成由光致抗蚀剂膜(以下称为“抗蚀剂膜”)构成的线部(Line)以规定的间隔排列而成的图案,将形成的图案用作掩模图案对被蚀刻膜进行蚀刻,由此形成这种微细的图案。关于最近的半导体装置的微细化,甚至要求尺寸为超过光刻技术的分辨率极限。
作为具有超过光刻技术的分辨率极限的尺寸的微细的掩膜图案的形成方法,存在一种所谓的双图案形成法。在双图案形成法中,分两个阶段形成图案,即第一图案形成工序和在该第一图案形成工序之后进行的第二图案形成工序。关于双图案形成法,通过该以两个阶段形成图案来形成与用一次形成图案的方式形成掩模图案时相比具有更微细的线宽和空间宽度(spacewidth)的掩模图案。
另外,还已知如下一种方法作为双图案形成法之一:通过将在作为芯材的线部的两侧形成的侧壁部作为掩模进行使用的SWP(Side Wall Patterning:侧壁图案形成)法,来形成与包括作为芯材的原始线部的图案相比具有更微细的排列间隔的掩膜图案。关于该方法,首先形成抗蚀剂膜并形成线部排列而成的抗蚀剂图案,之后以均等地覆盖线部的表面的方式来形成氧化硅膜等。然后,进行回蚀使得仅在覆盖线部的侧面的侧壁部上残留氧化硅膜,之后去除线部,将残留的侧壁部即氧化硅膜作为掩模图案(例如,参照专利文献1)。通过这样,形成具有超过光刻技术的分辨率极限的尺寸的微细的掩模图案。
专利文献1:日本特开2009-99938号公报
发明内容
发明要解决的问题
另外,如上所述,在通过SWP方法来形成超过光刻技术的分辨率极限的微细的掩模图案的情况下,存在如下的问题。
在上述掩模图案的形成方法中,当形成氧化硅膜或者对形成的氧化硅膜进行回蚀时,构成芯材的抗蚀剂膜所形成的线部容易暴露于等离子体。暴露于等离子体的抗蚀剂膜与等离子体发生反应,因此线部的表面存在粗糙或者发生变形的情况,其结果是,有时线部的侧壁的平坦性劣化或者线部的线宽减小。
如果线部的侧壁的平坦性劣化,则覆盖线部的侧面的氧化硅膜也不能平坦性良好地进行成膜,因此不能使由残留的侧壁部构成的掩模图案的形状均匀且精度优良。另外,当线部的线宽减小时,覆盖线部的侧面的侧壁部可能朝一个方向倾斜、或者倒塌。在任何一种情况下都不能均匀且高精度地形成侧壁部的形状,因此当将包括侧壁部的掩模图案作为掩模来进行下层的蚀刻时,不能使通过蚀刻形成的形状均匀且精度优良。
本发明是鉴于上述问题而完成的,其提供如下一种掩模图案的形成方法以及半导体装置的制造方法:在通过SWP方法形成更加微细的掩模图案的情况下,能够在将用于形成侧壁部的氧化硅膜进行成膜以及对该氧化硅膜进行回蚀时防止由抗蚀剂膜构成的芯材发生变形。
用于解决问题的方案
根据本发明的一实施例,提供一种掩模图案的形成方法,该方法包括以下工序:第一图案形成工序,通过将由隔着反射防止膜而形成在基板上的抗蚀剂膜构成的第一线部作为掩模对上述反射防止膜进行蚀刻,来形成包括第二线部的图案,该第二线部包括上述抗蚀剂膜和上述反射防止膜;照射工序,对上述抗蚀剂膜照射电子;氧化硅膜成膜工序,在上述第一图案形成工序和上述照射工序之后,以均等地覆盖上述第二线部的方式形成氧化硅膜;回蚀工序,从上述第二线部的上部去除上述氧化硅膜,并且对上述氧化硅膜进行回蚀,以使该氧化硅膜作为第二线部的侧壁部残留;以及第二图案形成工序,在上述回蚀工序之后,通过对上述第二线部进行灰化,来形成包括第三线部的掩模图案,该第三线部由上述氧化硅膜构成并作为上述侧壁部而残留。
发明的效果
根据本发明,在通过SWP方法形成更加微细的掩模图案的情况下,能够在将用于形成侧壁部的氧化硅膜进行成膜以及对该氧化硅膜进行回蚀时防止由抗蚀剂膜构成的芯材发生变形。
附图说明
图1是表示第一实施方式所涉及的等离子体处理装置的概要截面图。
图2是表示对等离子体处理装置的各部以及整个流程进行控制的控制部的一例的图。
图3是用于说明第一实施方式所涉及的掩模图案的形成方法以及半导体装置的制造方法的流程图。
图4A的(a)~(c)是用于说明第一实施方式所涉及的掩模图案的形成方法以及半导体装置的制造方法的图,示意性地示出各工序中的晶圆的状态。
图4B的(d)~(f)是继图4A之后,用于说明第一实施方式所涉及的掩模图案的形成方法以及半导体装置的制造方法的图,示意性地示出各工序中的晶圆的状态。
图4C的(g)~(i)是继图4B之后,用于说明第一实施方式所涉及的掩模图案的形成方法以及半导体装置的制造方法的图,示意性地示出各工序中的晶圆的状态。
图5是用于说明在第一实施方式中,通过对线部照射电子所进行的改性处理的原理的示意图。
图6是利用曲线图来表示电子被照射到抗蚀剂时的电子能量与电子侵入深度之间的理论关系的图。
图7的(a)~(c)示意性地表示利用以往的掩模图案的形成方法以及半导体装置的制造方法一直进行到回蚀工序后的晶圆的截面图。
图8是用于对第一实施方式所涉及的掩模图案的形成方法以及半导体装置的制造方法的其它例子的各工序的过程进行说明的流程图。
图9是示意性地表示设置有密部A1和疏部A2的晶圆的截面图。
图10是表示第二实施方式所涉及的等离子体处理装置的概要截面图。
具体实施方式
接着,结合附图对用于实施本发明的方式进行说明。
(第一实施方式)
参照图1~图9对本发明的第一实施方式所涉及的掩模图案的形成方法以及半导体装置的制造方法进行说明。
首先,参照图1和图2对适于实施本发明的第一实施方式所涉及的掩模图案的形成方法以及半导体装置的制造方法的、本实施方式所涉及的等离子体处理装置进行说明。
当参照图1时,等离子体处理装置100构成为电容耦合型的等离子体蚀刻装置,例如具有铝或者不锈钢等金属制的圆筒型腔室(处理容器)10。腔室10接地。
在腔室10内,例如载置作为被处理基板的半导体晶圆W(以下称为“晶圆W”)的圆板状的基座12作为下部电极被水平地配置。该基座12例如由铝构成,且被从腔室10的底部朝垂直上方延伸的绝缘性的筒状支承部14支承着。在沿着该筒状支承部14的外周从腔室10的底部朝垂直上方延伸的导电性的筒状支承部(内壁部)16与腔室10的侧壁之间形成有环状的排气通路18。在该排气通路18的入口处安装有环状的排气环(隔板)20,在排气通路18的底部设置有排气口22。排气装置26经由排气管24与排气口22相连接。排气装置26具有涡轮分子泵等真空泵,能够进行排气以至腔室10内的处理空间达到期望的真空度。在腔室10的侧壁安装有用于开闭晶圆W的输入输出口的闸阀28。
高频电源30经由匹配器32和下部供电棒36与基座12电连接。高频电源30输出高频电力。该高频电力具有有助于吸引离子的频率(通常为13.56MHz以下),吸引离子是将离子吸引到基座12上的晶圆W。匹配器32能够对高频电源30与负载(主要是电极、等离子体、腔室)之间的阻抗进行匹配,且自动地校正匹配阻抗。
在基座12上载置有作为处理对象的晶圆W。基座12具有比晶圆W的直径大的直径。另外,在基座12上设置有包围被载置在基座12上的晶圆W的聚焦环(校正环)38。
在基座12的上表面设置有用于吸附晶圆的静电卡盘40。在静电卡盘40的膜状或者板状的电介质中夹持有片状或者网格状的导电体。配置在腔室10的外部的直流电源42经由开关44和供电线46与该导电体电连接。能够通过由直流电源42施加的直流电压以库仑力将晶圆W吸附保持在静电卡盘40上。
在基座12上设置有温度分布调整部120。温度分布调整部120具有加热器121a、121b、加热器用电源122a、122b、温度计123a、123b以及制冷剂流路124a、124b。
在基座12的内部的中心区域设置有中心侧加热器121a,在中心侧加热器121a的外侧设置有外周侧加热器121b。中心侧加热器121a连接有中心侧加热器用电源122a,外周侧加热器121b连接有外周侧加热器用电源122b。中心侧加热器用电源122a和外周侧加热器用电源122b分别独立地调节向中心侧加热器121a和外周侧加热器121b提供的电力,由此能够使基座12上产生沿半径方向的期望的温度分布。由此,能够使晶圆W上产生沿半径方向的期望的温度分布。
另外,在基座12的内部设置有中心侧温度计123a和外周侧温度计123b。中心侧温度计123a和外周侧温度计123b对基座12的中心区域和外周区域的温度进行测量,由此能够导出晶圆W的中心区域和外周区域的温度。表示由中心侧温度计123a和外周侧温度计123b测量出的温度的信号被发送到温度控制部127。温度控制部127对中心侧加热器用电源122a和外周侧加热器用电源122b的输出进行调整,使得根据测量出的温度导出的晶圆W的温度为目标温度。另外,温度控制部127与后述的控制部130相连接。
并且,在基座12的内部的中心区域还设置有中心侧制冷剂流路124a,在中心侧制冷剂流路124a的外侧设置有外周侧制冷剂流路124b。而且,由未图示的冷冻单元分别循环供给不同温度的制冷剂。具体地说,制冷剂从中心侧导入管125a被导入中心侧制冷剂流路124a,在中心侧制冷剂流路124a中进行循环之后,通过中心侧排出管126a从中心侧制冷剂流路124a排出。另外,制冷剂从外周侧导入管125b被导入外周侧制冷剂流路124b,在外周侧制冷剂流路124b中进行循环之后,通过外周侧排出管126b从外周侧制冷剂流路124b排出。作为制冷剂,例如能够使用冷却水、碳氟化合物系的液体等。
基座12通过利用中心侧加热器121a和外周侧加热器121b进行加热以及利用制冷剂进行冷却来调整温度。因而,晶圆W也包含由来自等离子体的辐射、等离子体中含有的离子的照射等产生的加热量,通过与基座12之间进行热量的交换来将晶圆W调整为规定的温度。另外,在本实施方式中,在基座12的中心区域具有中心加热器121a和中心侧制冷剂流路124a,在它们的外侧具有外周侧加热器121b和外周侧制冷剂流路124b。因而,晶圆W能够在中心侧和外周侧独立地调整温度,从而能够对晶圆W的面内的温度分布进行调整。
另外,在本实施方式中,为了进一步提高晶圆W的温度分布的精度,来自未图示的传热气体供给部的传热气体、例如He(氦)气经由气体供给管54和基座12内部的气体通路56被供给至静电卡盘40与晶圆W之间。
在腔室10的顶部与基座12平行相向地设置有兼用作簇射头的上部电极60。上部电极(簇射头)60具有:电极板62,其与基座12相向;以及电极支承体64,其以能够从电极板62的背后(上方)装卸的方式来支承该电极板62。另外,在电极支承体64的内部设置有气体扩散室66。在电极支承体64和电极板62上形成有多个与气体扩散室66和腔室10的内部空间相连通的气体排出孔68。电极板62与基座12之间的空间成为等离子体生成空间或者处理空间PS。气体扩散室66经由气体供给管70与处理气体供给部72相连接。
上部电极60的电极板62在处理时暴露于等离子体,因此优选使用即使因受到来自等离子体的离子冲击而发生溅射也不会对工艺造成恶劣影响的材料来制作该电极板62。另外,在本实施方式中,电极板62(特别是其表面)作为DC施加部件而发挥功能,因此优选针对直流电源具有良好的导电性。作为这样的材料,例如具有Si、SiC等含有Si的导电材料、C(碳)。另外,例如可以利用被进行铝阳极化处理后的铝来构成电极支承体64。上部电极60隔着上部电极60与腔室10之间的环状的绝缘体65被安装于腔室10。上部电极60通过绝缘体65而电悬浮于腔室10。
高频电源74经由匹配器76和上部供电棒78与上部电极60电连接。高频电源74输出有助于生成等离子体的频率(通常为40MHz以上)的高频电力。匹配器76能够对高频电源74与负载(主要是电极、等离子体、腔室)之间的阻抗进行匹配,且自动地调整匹配阻抗。
设置在腔室10的外部的可变直流电源80的输出端子经由开关82和直流供电线84与上部电极60电连接。可变直流电源80例如能够输出-2000V~+1000V的直流电压VDC
设置在直流供电线84的途中的滤波器电路86允许来自可变直流电源80的直流电压VDC通过滤波器电路86并施加于上部电极60。另一方面,滤波器电路86能够将高频导向接地线。因此,来自基座12的高频几乎不会经由处理空间PS、上部电极60以及直流供电线84流向可变直流电源80。
另外,在腔室10内的隔板20的上表面安装有由例如Si、SiC等导电材料构成的环状的DC接地部件(直流接地电极)88。DC接地部件88始终经由接地线90接地。此外,DC接地部件88不限于设置在隔板20的上表面,还能够设置在面向处理空间PS的位置。例如DC接地部件88可以设置在筒状支承部16的顶部附近或者上部电极60的半径方向外侧。
等离子体处理装置10内的各部、例如排气装置26、高频电源30、74、开关44、82、处理气体供给部72、可变直流电源80、未图示的冷冻单元、未图示的传热气体供给部等各自的动作以及装置整体的动作(流程)例如通过由微计算机构成的制御部130来进行控制。
如图2所示,控制部130具有经由总线150进行连接的处理器(CPU)152、存储器(RAM)154、程序存储装置(HDD)156、软盘或者光盘等的盘驱动器(DRV)158、键盘、鼠标等输入装置(KEY)160、显示装置(DIS)162、网络接口(COM)164以及外围接口(I/F)166。
处理器(CPU)152从被装入盘驱动器(DRV)158的软盘或者光盘等存储介质168读取所需的程序的代码并存储到HDD 156中。或者,也能够经由网络接口164从网络下载所需的程序。处理器(CPU)152将要实施的工艺所需的程序的代码从程序存储装置(HDD)156加载到工作存储器(RAM)154中并执行各步骤,进行需要的运算处理。然后,处理器(CPU)152经由外围接口(I/F)166对装置内的各部、特别是排气装置26、高频电源30、74、处理气体供给部72、可变直流电源80、开关82、温度分布调整部120等进行控制。
在等离子体处理装置100中,为了对基座12上的晶圆W进行蚀刻加工,从处理气体供给部72向腔室10内导入规定的流量的含有蚀刻剂气体的处理气体,并通过排气装置26将腔室10内的压力调节为设定值。并且,从高频电源74经由匹配器76和上部供电棒78对上部电极60施加用于生成等离子体的第一高频(40MHz以上),同时从高频电源30经由匹配器32和下部供电棒36对基座12施加用于吸引离子的第二高频(13.56MHz)。另外,接通开关44,通过静电吸附力将晶圆W吸引到静电卡盘40上。由此,将传热气体(氦气)封入到晶圆W与静电卡盘40之间的接触界面。从上部电极60的气体排出孔68排出的处理气体通过被施加于两电极12、60之间的高频而在处理空间PS中进行等离子化,利用由该等离子体生成的原子团、离子将晶圆W上的被加工膜蚀刻成期望的图案。
在该等离子体蚀刻中,由高频电源74对上部电极60施加第一高频,该第一高频具有40MHz以上(更为优选的是60MHz以上)的适于生成等离子体的比较高的频率。由此,能够使等离子体保持为良好的离解状态,实现高密度化,因此,即使在更低压的条件下也能够形成高密度等离子体。与此同时,对基座12施加13.56MHz以下的适于吸引离子的比较低的频率的第二高频。由此,能够实现对于晶圆W的被加工膜选择性高的各向异性蚀刻。另外,在任何等离子体处理中都必须使用用于生成等离子体的第一高频,但是根据处理有时不使用用于吸引离子的第二高频。
并且,当进行等离子体蚀刻时,由可变直流电源80对上部电极60施加直流电压(通常在-900V~0V的范围内)。由此,还能够提高等离子体起燃稳定性、抗蚀剂选择性、蚀刻速度、蚀刻均匀性等。
接着,参照图3~图6对本实施方式所涉及的掩模图案的形成方法以及半导体装置的制造方法进行说明。
首先进行层叠工序S11。如图4A的(a)所示,在层叠工序S11中,例如在由硅基板构成的晶圆W上层叠绝缘膜111、被蚀刻膜112、掩膜113、反射防止膜114以及抗蚀剂膜115。
在包括本实施方式所涉及的掩模图案形成方法的半导体装置的制造方法中,被蚀刻膜112是最终要蚀刻加工的膜。例如将绝缘膜111设为氧化硅(SiO2)膜,该氧化硅(SiO2)膜作为栅绝缘膜而发挥功能并以例如TEOS(四乙氧基硅烷)为原料,在蚀刻加工后,例如能够将被蚀刻膜112设为作为栅电极而发挥功能的多晶硅膜。另外,例如能够将被蚀刻膜112的厚度设为90nm。
掩膜113作为对下层的膜、即被蚀刻膜112进行蚀刻时的硬掩模而发挥功能。由在氧化硅膜成膜工序S15(后述)中形成的氧化硅膜116构成的第三线部116a的图案被转印到掩膜113上。另外,当对被蚀刻膜112进行蚀刻加工时,掩膜113优选对于被蚀刻膜112具有高选择比。即,优选使被蚀刻膜112的蚀刻速度与掩膜113的蚀刻速度之比大。作为掩膜113,例如能够使用SiN膜、SiON膜等无机膜。另外,例如能够将掩膜113的厚度设为26nm。
反射防止膜114作为使形成在其上面的抗蚀剂膜115曝光时的反射防止膜(Bottom Anti-Reflective Coating;BARC)而发挥功能。作为反射防止膜114,例如能够使用包括被称为有机BARC的CxHyOz的膜等。另外,例如能够将反射防止膜114的厚度设为30nm。
抗蚀剂膜115隔着反射防止膜114形成在晶圆W上。抗蚀剂膜115被曝光、显影,来提供作为之后的SWP时的芯材的第一线部115a。作为抗蚀剂膜115,例如能够使用ArF抗蚀剂。另外,例如能够将抗蚀剂膜115的厚度设为100nm。
接着,进行光刻工序S12。如图4A的(b)所示,在光刻工序S12中,利用光刻技术形成由抗蚀剂膜115构成的第一线部115a。
具体地说,通过具有规定图案的光掩模(未图示)使形成在反射防止膜114上的抗蚀剂膜115曝光、显影,由此能够形成包括由抗蚀剂膜115构成的第一线部115a的图案。当对反射防止膜114进行蚀刻时,第一线部115a作为掩模而发挥功能。第一线部115a具有线宽L1和空间宽度S1,并以间隔D1(=L1+S1)进行排列。对线宽L1和空间宽度S1不作特别地限定,但是例如可以将二者均设为60nm。
此外,线部是指在平面上沿第一方向延伸的构造体,且沿着与第一方向正交的第二方向离相邻的同种类的构造体规定距离地进行排列。线宽是指线部的沿着第二方向的长度。空间宽度是指相邻的两个线部间的间隔的沿着第二方向的长度。另外,线部的排列间隔是指一个线部的中心与相邻的线部的中心之间的距离。
接着,进行掩模图案形成工序S13~S18。首先,在第一图案形成工序S13中,对晶圆W照射等离子体,将第一线部115a作为掩模对反射防止膜114进行蚀刻,该第一线部115a由隔着反射防止膜114形成在晶圆W上的抗蚀剂膜115构成。由此,形成包括由抗蚀剂膜115和反射防止膜114构成的第二线部114a的图案。
另外,在第一图案形成工序S13中,也可以对反射防止膜114进行蚀刻,并且裁切第一线部115a,由此形成具有比第一线部115a的线宽L1小的线宽L2的第二线部114a(图4A的(c))。下面,具体地说明在本实施方式中还同时进行第一线部115a的裁切的情况。
在第一图案形成工序S13中,从等离子体处理装置100的处理气体供给部72向腔室10内导入适当流量的规定的处理气体,通过排气装置26将腔室10内的压力调节为设定值。然后,从高频电源74经由匹配器76和上部供电棒78对上部电极60施加用于生成等离子体的第一高频(40MHz以上)。另外,接通开关44,通过静电吸附力将晶圆W吸引到静电卡盘40上。由此,将传热气体(氦气)封入到晶圆W和静电卡盘40之间的接触界面。从上部电极60的气体排出孔68排出的处理气体通过被施加于两电极12、60之间的高频在处理空间PS中进行等离子化。
在第一图案形成工序S13中,作为处理气体,例如能够使用CF4、C4F8、CHF3、CH3F、CH2F2等CF系气体、以及Ar气体等的混合气体、或者使用根据需要对该混合气体添加氧而得到的气体等。
通过使用上述处理气体,将由抗蚀剂膜115构成的第一线部115a作为掩模对反射防止膜114进行蚀刻,并且还对第一线部115a自身进行裁切。其结果是,能够形成第二线部114a,该第二线部114a由抗蚀剂膜115和反射防止膜114构成,且具有比第一线部115a的线宽L1(图4A的(b))小的线宽L2(图4A的(c))。即,第一线部115a的线宽L1和空间宽度S1与第二线部114a的线宽L2和空间宽度S2之间的大小关系是L2<L1、S2>S1。对L2和S2的值不作特别地限定,例如能够将L2设为30nm,将S2设为90nm。
在此,当由可变直流电源80对上部电极60施加高电压的负的直流电压VDC时,在上部电极60与等离子体PR之间形成的上部离子鞘层SHU变厚,鞘层电压VU为大致与直流电压相等的大小。由此,致使等离子体PR中的离子(+)在上部离子鞘层SHU的电场中加速而具有大的运动能量。当该离子因大的冲击能量而撞击上部电极60(电极板62)时,从电极板62释放出大量二次电子e-。从电极板62释放出的二次电子e-在上部离子鞘层SHU的电场中朝向与离子相反的方向加速并穿过等离子体PR,进一步横穿下部离子鞘层SHL,以强大的能量射入基座12上的晶圆W的表面。即,对由晶圆W表面的抗蚀剂膜115构成的第一线部115a照射电子。通过照射电子,构成第一线部115a的抗蚀剂的高分子吸收电子的能量,引起组合变化、结构变化以及交联反应等。由此,能够使第一线部115a改性。
此时,二次电子e-匀速通过等离子体PR的内部,但是下部离子鞘层SHL的鞘层电压VL(或者自身偏置电压)越低越好,通常优选为100V以下。因而,可以将施加于基座12的第二高频(13.56MHz)的功率选定为50W以下,也可以更为优选地将其设为0W。
另外,根据图5所示的原理,施加于上部电极60的负的直流电压VDC的绝对值越大,则越能够增强射入由晶圆W上的抗蚀剂膜115构成的第一线部115a的电子的能量。其结果是,能够使电子在由晶圆W上的抗蚀剂膜115构成的第一线部115a中的侵入深度、即改性深度增加。
一般地,理论上已知电子射入抗蚀剂时的电子能量与电子侵入深度之间大致存在图6所示的比例关系。根据该理论,电子能量为600eV时的侵入深度大约为30nm,电子能量为1000eV时的侵入深度大约为50nm,电子能量为1500eV时的侵入深度大约为120nm。
其中,在第一图案形成工序S13中,当施加于上部电极60的负极性直流电压VDC的绝对值过大时,造成反射防止膜114被等离子体过度蚀刻。因此,施加于上部电极60的负极性直流电压VDC的绝对值优选为规定的绝对值VAB以下。具体地说,例如能够将规定的绝对值VAB设为600V。而且,例如能够将负极性直流电压VDC的绝对值设为600V。
另外,在第一图案形成工序S13中,可以对被基座12支承的晶圆W的面内的温度分布进行调整。如后文所述,通过该调整,能够对晶圆W的面内的第二线部114a的线宽L2的分布进行控制。
接着,进行照射工序S14。如图4B的(d)所示,在照射工序S14中,对由抗蚀剂膜115和反射防止膜114构成的第二线部114a照射电子。
与第一图案形成工序S13同样地,在照射工序S14中也从处理气体供给部72向腔室10内导入适当流量的规定的处理气体,通过排气装置26将腔室10内的压力调节为设定值。然后,由高频电源74经由匹配器76和上部供电棒78对上部电极60施加用于生成等离子体的第一高频(40MHz以上)。从上部电极60的气体排出孔68排出的处理气体通过被施加于两电极12、60之间的高频在处理空间PS中进行等离子化。
但是,照射工序S14不是为了蚀刻,而是为了使在第一图案形成工序S13中形成的第二线部114a改性而进行。因而,作为处理气体,能够使用具有弱蚀刻能力的处理气体、例如氢(H2)气来代替具有强蚀刻能力的处理气体、例如CF4、C4F8、CHF3、CH3F、CH2F2等CF系气体、以及Ar气等的混合气体等。
通过使用上述处理气体,在照射工序S14中,由抗蚀剂膜115和反射防止膜114构成的第二线部114a的线宽L2几乎不发生变化。
与第一图案形成工序S13同样地,在照射工序S14中也是由可变直流电源80将直流电压VDC以负极性的高压施加于上部电极60。如果对上部电极60施加直流电压VDC,则等离子体PR中的离子(+)在上部离子鞘层SHU的电场中加速并撞击上部电极60(电极板62)时的离子冲击能量增强,通过放电而从电极板62释放出的二次电子e-增加。而且,从电极板62释放出的二次电子e-以规定的高能量射入基座12上的晶圆W的表面。即,对晶圆W表面的由抗蚀剂膜115和反射防止膜114构成的第二线部114a所包含的抗蚀剂膜115照射电子。在照射工序S14中,当对抗蚀剂膜115照射电子时,抗蚀剂膜115中的抗蚀剂的高分子也吸收电子的能量并引发组合变化、结构变化、交联反应等。由此,能够使第二线部114a改性。
另外,在照射工序S14中,由于使用具有弱蚀刻能力的处理气体而几乎不利用等离子体进行蚀刻,因此施加于上部电极60的负极性直流电压VDC的绝对值也可以比上述规定的绝对值VAB大。具体地说,如上所述,例如当将规定的绝对值VAB设为600V时,例如能够将负极性直流电压VDC的绝对值设为900V。
接着,进行氧化硅膜成膜工序S15。如图4B的(e)所示,在氧化硅膜成膜工序S15中,以均等地覆盖第二线部114a的方式形成氧化硅膜116。
此外,氧化硅膜116不限于由SiO2形成,也可以由具有与氧和硅的组合比与SiO2膜不同的SiOx、或者以硅和氧作为主要成分的其它组合的材料形成。另外,氧化硅膜116还可以由氮氧化硅(SiON)构成。
在抗蚀剂膜115和反射防止膜114作为第二线部114a而残留的状态下进行氧化硅膜116的成膜。一般情况下由于抗蚀剂膜115抗高温能力弱,因此优选在低温(例如大约300℃以下左右)下进行。作为氧化硅膜116的成膜方法,只要能够在低温下成膜即可。在本实施方式中,能够通过低温条件下的分子层沉积(Molecular Layer Deposition,以下称为MLD)、即低温MLD来进行。其结果是,如图4B的(e)所示,在晶圆W的整个面上形成氧化硅膜116,在第二线部114a的侧面也形成氧化硅膜116,使得覆盖第二线部114a的侧面。当将此时的氧化硅膜116的厚度设为D时,覆盖第二线部114a的侧面的氧化硅膜116的宽度也为D。例如也能够将氧化硅膜116的厚度D设为30nm。
在此,对通过低温MLD进行氧化硅膜成膜工序进行说明。
在低温MLD中,交替反复地执行以下工序:将包含硅的原料气体供给到成膜装置的处理容器内,使硅原料吸附到晶圆W上;以及将包含氧的气体供给到处理容器内,对硅原料进行氧化。
具体地说,在使包含硅的原料气体吸附到晶圆W上的工序(以下称为吸附工序)中,将一个分子内具有两个氨基的氨基硅烷气体、例如双(叔丁氨基)硅烷(以下称为BTBAS)作为包含硅的原料气体经由硅原料气体的供给喷嘴向处理容器内供给规定时间。由此,使BTBAS吸附到晶圆W上。
接着,在向处理容器内供给包含氧的气体并使吸附在晶圆W上的BTBAS氧化的工序(以下称为氧化工序)中,例如将通过具备高频电源的等离子体生成机构进行等离子化而得到的O2气体作为包含氧的气体经由气体供给喷嘴向处理容器内供给规定的时间。由此,对吸附在晶圆W上的BTBAS进行氧化,来形成氧化硅膜116。
另外,在吸附工序和氧化工序之间,能够进行规定时间的一边对处理容器内进行真空排气一边向处理容器内供给吹扫气体的工序(以下称为吹扫工序),以去除前一个工序中的残留气体。因而,按照吸附工序、吹扫工序、氧化工序以及吹扫工序的顺序反复地进行。作为吹扫气体,例如能够使用氮气等惰性气体。其中,在吹扫工序中只要能够去除处理容器内残留的气体即可。因此,在吹扫工序中也可以不供给吹扫气体(也不供给原料气体)而仅对处理容器内进行真空排气。
需要说明的是,在基于低温MLD的氧化硅膜116的成膜中,可使用BTBAS以外的含有机硅的原料气体。含有机硅的原料气体的例子有氨基硅烷系前体。氨基硅烷系前体的例子有1价或2价的氨基硅烷系前体。1价或2价的氨基硅烷系前体的具体例子有BTBAS(双(叔丁氨基)硅烷)、BDMAS(双(二甲氨基)硅烷)、BDEAS(双(二乙氨基)硅烷)、DPAS(二(丙氨基)硅烷)、BAS(丁氨基硅烷)以及DIPAS(二(异丙基氨基)硅烷)。
另外,作为氨基硅烷系前体,也可以使用3价的氨基硅烷系前体。3价的氨基硅烷系前体的例子有TDMAS(三(二甲氨基)硅烷)。
另外,作为含有机硅的Si源气体,除了氨基硅烷系前体以外,也可以使用乙氧基硅系烷前体。乙氧基硅烷前体的例子有例如TEOS(四乙氧基硅烷)。
另一方面,作为包含氧的气体,除了使用O2气体之外,还能够使用NO气体、N2O气体、H2O气体、O3气体,能够通过高频电场对它们进行等离子化以作为氧化剂进行使用。通过使用这种包含氧的气体的等离子体,能够在300℃以下进行氧化硅膜的成膜。另外,通过进一步调整包含氧的气体的气体流量、高频电源的电力、处理容器内的压力,能够在100℃以下或者室温下进行氧化硅膜的成膜。
接着,进行回蚀工序S16。在回蚀工序S16中,从第二线部114a的上部去除氧化硅膜116,并且如图4B的(f)所示,对氧化硅膜116进行回蚀,使其作为第二线部114a的侧壁部116a而残留。
在回蚀工序S16中,在等离子体处理装置100内,再次从处理气体供给部72向腔室10内导入适当流量的规定的处理气体,通过排气装置26将腔室10内的压力调节为设定值。然后,从高频电源74经由匹配器76和上部供电棒78对上部电极60施加用于生成等离子体的第一高频(40MHz以上)。于是,由簇射头60排出的处理气体在两电极12、60之间通过高频放电进行解离、电离来生成等离子体。
在回蚀工序S16中,作为处理气体,例如能够使用CF4、C4F8、CHF3、CH3F、CH2F2等CF系气体、以及Ar气体等的混合气体,或者使用根据需要对该混合气体添加氧而得到的气体等。
通过使用上述处理气体,主要沿着与晶圆W的表面垂直的方向对氧化硅膜116进行各向异性蚀刻。其结果是,从第二线部114a的上部去除氧化硅膜116,并且仅残留覆盖第二线部114a的侧面的侧壁部116a。此时,在第二线部114a与相邻的第二线部114a之间的间隔部形成的氧化硅膜116也被去除。以下,将被侧壁部116a覆盖了侧面的第二线部114a称为侧面覆盖线部114b。
如果将侧面覆盖线部114b的线宽设为L2′,将空间宽度设为S2′,则在第二线部114a的线宽L2为30nm、侧壁部116a的厚度D为30nm的情况下,L2′=L2+D×2、S2′=S2-D×2,因此能够将L2′设为90nm,将S2′设为30nm。
接着,进行对掩膜113进行蚀刻的蚀刻工序S17。在蚀刻工序S17中,将包含侧壁部116a和第二线部114a的侧面覆盖线部114b作为掩模,对掩膜113进行蚀刻。
在蚀刻工序S17中,也从处理气体供给部72向腔室10内导入适当流量的规定的处理气体,对上部电极60施加用于生成等离子体的第一高频(40MHz以上),同时对基座12施加用于吸引离子的第二高频(13.56MHz)。所供给的处理气体在两电极12、60之间通过高频的放电而进行等离子化,并通过由该等离子体生成的原子团、离子对掩膜113进行蚀刻。
在蚀刻工序S17中,作为处理气体,例如也能够使用CF4、C4F8、CHF3、CH3F、CH2F2等CF系气体、以及Ar气体等的混合气体,或者使用根据需要对该混合气体添加了氧而得到的气体。
在蚀刻工序S17中,在侧面覆盖线部114b与相邻的侧面覆盖线部114b之间的间隔部、即区域R1中,对掩膜113进行蚀刻。
接着,执行第二图案形成工序S18。在第二图案形成工序S18中,对由抗蚀剂膜115和反射防止膜114构成的第二线部114a进行灰化。由此,形成包括第三线部116a的掩模图案,该第三线部116a作为由氧化硅膜116构成的侧壁部116a而残留。第二图案形成工序S18结束时的晶圆W的截面在图4C的(g)中示出。
在第二图案形成工序S18中,也从处理气体供给部72向腔室10内导入适当流量的规定的处理气体,对上部电极60施加用于生成等离子体的第一高频(40MHz以上),同时对基座12施加用于吸引离子的第二高频(13.56MHz)。所供给的处理气体在两电极12、60之间通过高频的放电而进行等离子化,通过由该等离子体生成的原子团、离子对由抗蚀剂膜115和反射防止膜114构成的第二线部114a进行灰化。
在第二图案形成工序S18中,作为处理气体,例如能够使用氢气(H2)、氮气(N2)等的混合气体等。
通过使用上述处理气体,能够对由抗蚀剂膜115和反射防止膜114构成的第二线部114a进行灰化,从而形成包括由氧化硅膜116构成的、作为侧壁部116a而残留的第三线部116a的图案。
当对掩膜113进行蚀刻时,第三线部116a作为掩模而发挥功能。如果将第三线部116a的线宽设为L3、将空间宽度设为S3、S3′,则在第二线部114a的线宽L2为30nm、侧壁部116a的厚度D为30nm的情况下,L3=D、S3=L2、S3′=S2′,因此能够将L3设为30nm,将S3和S3′设为30nm。
即,第三线部116a具有线宽L3和空间宽度S3,并以间隔D2(=L3+S3)进行排列。在此,间隔D2=L3+S3=60nm,是第一线部115a的间隔D1=L1+S1=120nm的一半。另外,第三线部116a的线宽L3和空间宽度S3分别是第一线部115a的线宽L1和空间宽度S1的一半。即,在本实施方式中,能够形成包括以第二间隔D2(=60nm)进行排列的第三线部116a的掩模图案,该第二间隔D2是以第一间隔D1(=120nm)进行排列的第一线部115a的一半的间隔。
接着,执行掩膜蚀刻工序S19。在掩膜蚀刻工序S19中,将第三线部116a作为掩模来使用,通过照射到晶圆W上的等离子体对掩膜113进行蚀刻。由此,如图4C的(h)所示,形成由掩膜113构成的第四线部113a。
在掩膜蚀刻工序S19中,也从处理气体供给部72向腔室10内导入适当流量的规定的处理气体,对上部电极60施加用于生成等离子体的第一高频(40MHz以上),同时对基座12施加用于吸引离子的第二高频(13.56MHz)。所供给的处理气体在两电极12、60之间通过高频的放电进行等离子化,通过由该等离子体生成的原子团、离子对掩膜113进行蚀刻。
在掩膜蚀刻工序S19中,作为处理气体,例如也能够使用CF4、C4F8、CHF3、CH3F、CH2F2等的CF系气体、以及Ar气体等的混合气体,或者使用根据需要对该混合气体添加氧而得到的气体等。
通过使用上述处理气体,将由氧化硅膜116构成的第三线部116a作为掩模对掩膜113进行蚀刻。其结果是,能够形成由掩膜113构成的、线宽与第三线部116a的线宽大致相等的第四线部113a。
接着,进行被蚀刻膜蚀刻工序S20。在被蚀刻膜蚀刻工序S20中,将由掩膜113构成的第四线部113a作为掩模,利用照射到晶圆W的等离子体对被蚀刻膜112进行蚀刻,由此,如图4C的(i)所示,形成由被蚀刻膜112构成的第五线部112a。
在被蚀刻膜蚀刻工序S20中,也从处理气体供给部72向腔室10内导入适当流量的规定的处理气体,对上部电极60施加用于生成等离子体的第一高频(40MHz以上),同时对基座12施加用于吸引离子的第二高频(13.56MHz)。所供给的处理气体在两电极12、60之间通过高频的放电进行等离子化,并通过由该等离子体生成的原子团、离子对被蚀刻膜112进行蚀刻。
在被蚀刻膜蚀刻工序S20中,作为处理气体,例如也能够使用CF4、C4F8、CHF3、CH3F、CH2F2等CF系气体、以及Ar气体等的混合气体,或者使用根据需要对该混合气体添加氧而得到的气体等。
通过使用上述处理气体,将由掩膜113构成的第四线部113a作为掩模对被蚀刻膜112进行蚀刻。其结果是,能够形成由被蚀刻膜112构成的、线宽与第三线部116a和第四线部113a的线宽大致相等的第五线部112a。
另外,在被蚀刻膜蚀刻工序S20中,可以对被基座12支承的晶圆W的面内的温度分布进行调整。通过该调整,如后述那样,能够对晶圆W的面内的第五线部112a的线宽L3的分布进行控制。
接着,参照图4B的(f)和图7对本实施方式所涉及的掩模图案的形成方法以及半导体装置的制造方法中能够防止在对氧化硅膜进行回蚀时由抗蚀剂膜构成的芯材发生变形的效果进行说明。图7是示意性地表示以往的掩模图案的形成方法以及半导体装置的制造方法中一直进行到回蚀工序S16为止后的晶圆W的状态的截面图。
关于ArF抗蚀剂等的抗蚀剂膜115,由于抗等离子性或者抗蚀刻性弱,因此在进行等离子体蚀刻时,存在由抗蚀剂膜115构成的第二线部114a的表面粗糙、第二线部114a的侧面变得凹凸不平的倾向,导致LER(Line Edge Roughness:线边缘粗糙度)、LWR(Line Width Roughness:线宽粗糙度)恶化。另外,还存在以下情况:第二线部114a具有非常窄的宽度,因此由于第二线部114a的侧面的凹凸导致从上面观察时第二线部114a看上去蜿蜒曲折,LER、LWR更加恶化。
在将由这种抗蚀剂膜115构成的第二线部114a用作SWP的芯材的情况下,当在氧化硅膜成膜工序S15中形成氧化硅膜116时,第二线部114a暴露于等离子体。当暴露于等离子体时,第二线部114a的表面有时会变得粗糙或者发生变形。另外,在回蚀工序S16中对氧化硅膜116进行回蚀的情况下,由于去除第二线部114a的上部的氧化硅膜116而导致第二线部114a暴露于等离子体,因此第二线部114a的表面有时会变得粗糙或者发生变形。
例如,如图7的(a)所示,在氧化硅膜成膜工序S15中,如果与等离子体发生反应而使第二线部114a的线宽变小为L2s(<L2),则有可能由侧壁部116a构成的第三线部116a交替地以不同的空间宽度进行排列,无法形成具有期望的形状的第三线部116a。
另外,例如,如图7的(b)所示,在氧化硅膜成膜工序S15或者回蚀工序S16中,第二线部114a的上端侧的线宽L2t有时比底部侧的线宽L2b小。这是由于越是第二线部114a的上端侧越容易暴露于等离子体。此时,有可能侧壁部116a不能形成为与晶圆W的表面垂直而是交替地朝相反方向倾斜,无法形成具有期望的形状的第三线部116a。
并且,例如,如图7的(c)所示,在氧化硅膜成膜工序S15或者回蚀工序S16中,有时第二线部114a的侧面凹凸不平,侧壁部116a的侧壁也凹凸不平。此时,由侧壁部116a构成的第三线部116a的上述LER、LWR等恶化,有可能无法形成具有期望的形状的第三线部116a。
而且,如果侧壁部116a发生变形,则当将侧壁部116a作为掩模依次对下层的掩模层113、被蚀刻膜112进行蚀刻时,其发生变形后的形状被转印。因此,当对被蚀刻膜112进行蚀刻来形成第五线部112a时,不能高精度地形成第五线部112a。
根据本实施方式,通过在形成氧化硅膜116之前对由抗蚀剂膜115构成的第二线部114a照射电子,来预先使第二线部114a改性。其结果是,抗等离子性提高,因此在形成氧化硅膜116之后,在对氧化硅膜116进行回蚀使得仅残留侧壁部116a时,能够防止作为芯材的第二线部114a发生变形。另外,由于防止第二线部114a发生变形,因此,在将第二线部114a作为掩模对下层的膜进行蚀刻时,能够使通过蚀刻形成的形状的精度提高。另外,能够防止通过蚀刻形成的图案发生倒塌。
此外,在本实施方式中,对在第一图案形成工序S13和照射工序S14的任一个工序中均对晶圆W照射电子来使第二线部114a改性的例子进行了说明。但是,只要在进行氧化硅膜成膜工序S15之前对晶圆W照射电子来使第二线部114a改性即可。因而,也可以在第一图案形成工序S13中不照射电子,而仅在照射工序S14中照射电子。仅在照射工序S14中照射电子的例子在图8示出。图8是用于说明本实施方式所涉及的掩模图案的形成方法以及半导体装置的制造方法的其它例子的各工序的顺序的流程图。
在图8中,进行第一图案形成工序S13′)来代替图3中的第一图案形成工序S13。在第一图案形成工序S13′中不照射电子,对反射防止膜114进行蚀刻,由此形成包括第二线部114a的图案。另外,除第一图案形成工序S13′)以外的各工序与图3中的各工序相同。
在此,对实施例1、实施例2进行实施,通过与比较例1进行比较来对被侧壁部116a覆盖侧面的第二线部114a的形状进行评价。一边参照表1一边说明其评价结果。
(实施例1)
在实施例1中,进行了图3中的步骤S11~步骤S18的各工序。实施例1中的步骤S13、步骤S14、步骤S16至步骤S18的各工序的条件如下所示。
(A)第一图案形成工序S13
成膜装置内压力:800mTorr
高频电源功率(40MHz/13MHz):200W/0W
上部电极的电位:-600V
晶圆温度:中心侧/外周侧=30℃/30℃
处理气体的流量:CF4/O2/Ar=150sccm/50sccm/1000sccm
处理时间:30秒
(B)照射工序S14
成膜装置内压力:100mTorr
高频电源功率(40MHz/13MHz):500W/0W
上部电极的电位:-900V
晶圆温度:中心侧/外周侧=30℃/30℃
处理气体的流量:H2/Ar=450sccm/450sccm
处理时间:10秒
(C)回蚀工序S16
成膜装置内压力:30mTorr
高频电源功率(40MHz/13MHz):500W/100W
上部电极的电位:300V
晶圆温度:中心侧/外周侧=30℃/30℃
处理气体的流量:C4F6/Ar/O2=15sccm/450sccm/22.5sccm
处理时间:25秒
(D)蚀刻工序S17
成膜装置内压力:30mTorr
高频电源功率(40MHz/13MHz):400W/0W
上部电极的电位:0V
晶圆温度:中心侧/外周侧=30℃/30℃
处理气体的流量:CF4/CHF3/O2=125sccm/125sccm/20sccm
处理时间:12秒
(E)第二图案形成工序S18
成膜装置内压力:100mTorr
高频电源功率(40MHz/13MHz):500W/0W
上部电极的电位:0V
晶圆温度:中心侧/外周侧=30℃/30℃
处理气体的流量:H2/N2=300sccm/900sccm
处理时间:60秒
(实施例2)
在实施例2中,进行了图8中的步骤S11~步骤S18的各工序。实施例2中的步骤S14、步骤S16至步骤S18的各工序的条件与实施例1相同。另外,实施例2中的步骤S13′的条件如下所示。
(F)第一图案形成工序S13′)
成膜装置内压力:800mTorr
高频电源功率(40MHz/13MHz):200W/0W
上部电极的电位:0V
晶圆温度:中心侧/外周侧=30℃/30℃
处理气体的流量:CF4/O2/Ar=150sccm/20sccm/1000sccm
处理时间:55秒
(比较例1)
在比较例1中,省略图8中的步骤S14,进行了步骤S11、步骤S12、步骤S13′、步骤S15至步骤S18的各工序。比较例1中的步骤S16至步骤S18的各工序的条件与实施例1相同。另外,比较例1中的步骤S13′的条件与实施例2相同。
表1表示在实施例1、实施例2以及比较例1中进行到回蚀工序S16为止之后的被侧壁部116a覆盖侧面的第二线部114a的线宽L2。
[表1]
如表1所示,在比较例1中L2=25.6nm,但是在实施例2中L2=28.3nm,与比较例1相比,实施例2的第二线部114a的线宽L2大。因而,通过在照射工序S14中照射电子能够在氧化硅膜成膜工序S15和回蚀工序S16中防止第二线部114a发生变形。
另外,如表1所示,在比较例1中L2=25.6nm,在实施例2中L2=28.3nm,但是在实施例1中L2=33.3nm,在实施例1中,与比较例1相比,第二线部114a的线宽L2比实施例2中的线宽还大。因而,通过在照射工序S14中照射电子并且在第一图案形成工序S13中也照射电子,能够在氧化硅膜成膜工序S15和回蚀工序S16中进一步防止第二线部114a发生变形。
接着,参照表2对在第一图案形成工序S13中的能够通过对被基座12支承的晶圆W的面内的温度分布进行调整来使晶圆W的面内的第二线部114a的线宽L2的分布均匀的效果进行说明。
下面,在上述(A)的条件下,将晶圆W的中心侧的温度TI保持为固定(30℃),改变外周侧的温度TO,由此调整晶圆W的温度分布,求出晶圆W的面内的线宽CD的偏差。其它条件和上述(A)的条件相同。
表2以外周侧的温度TO为30℃时作为基准表示晶圆W的外周侧的温度TO为20℃、30℃、40℃时的晶圆W的最外周处的CD偏移量。
此外,将晶圆W的大小设为另外,CD偏移量表示裁切(第一图案形成工序S13)前的第一线部115a的线宽L1与裁切(第一图案形成工序S13)后的第二线部114a的线宽L2之差。
[表2]
如表2所示,当外周侧的温度TO为比中心侧的温度TI低10℃的20℃时,晶圆W的最外周处的CD偏移量比外周侧的温度TO为30℃时的CD偏移量小3nm。另外,当外周侧的温度TO为比中心侧的温度TI高10℃的40℃时,晶圆W的最外周处的CD偏移量比外周侧的温度TO为30℃时的CD偏移量大2nm。因而,通过独立地调整中心侧的温度TI和外周侧的温度TO,能够在晶圆W的中心侧和外周侧独立地控制裁切处理(第一图案形成工序S13)后的第二线宽114a的线宽L2。
因而,在第一图案形成工序S13中,通过对被基座12支承的晶圆W的面内的温度分布进行调整,能够使晶圆W的面内的第二线部114a的线宽L2的分布均匀。
接着,参照图9和表3,对在被蚀刻膜蚀刻工序S20中能够通过调整晶圆W的面内的温度分布来使由晶圆W的面内的被蚀刻膜112构成的第五线部112a的线宽L3的分布在密部A1和疏部A2的任一个中都均匀的效果进行说明。图9是示意性地表示设置有密部A1和疏部A2的晶圆W的状态的截面图。
一直进行到第二图案形成工序S18,在直到设置以比较小的间隔D21(S3+L3)排列第三线部116a的区域(以下称为“密部”)为止的期间,设置以比较大(大于间隔D21)的间隔D22排列第三线部116b的区域(以下称为“疏部”)A2。为了形成第三线部116b,在形成氧化硅膜116之后,使用另外的抗蚀剂膜等对设置区域A1的部分进行保护,在设置区域A2的部分形成包括由其它抗蚀剂膜构成的第三线部116b的图案。然后,使用包括所形成的第三线部116a、116b的掩模图案来进行掩膜蚀刻工序S19和被蚀刻膜蚀刻工序S20,由此形成第五线部112a、112b。在图9的左侧设置有以比较小的间隔D21(S3+L3)排列第五线部112a的区域A1,在图9的右侧设置有以比较大(大于间隔D21)的间隔D22排列第五线部112b的区域A2。
下面,在实施例1所示的(A)~(E)表示的条件下进行图3中的步骤S11至步骤S18的工序来设置密部A1,并且另外设置疏部A2。之后,在(D)所示的与步骤S17相同的条件下进行步骤S19,并且在下述(G)所示的条件下进行步骤S20。此时,在步骤S20中,将晶圆W的中心侧的温度TI保持为固定(50℃),改变外周侧的温度TO,由此调整晶圆W的面内的温度分布。然后,求出密部A1和疏部A2各自的第五线部112a和112b的线宽。其它条件和下述(G)的条件相同。另外,使用了多晶硅膜作为被蚀刻膜112。
(G)被蚀刻膜蚀刻工序S20
成膜装置内压力:25mTorr
高频电源功率(40MHz/13MHz):1500W/1500W
上部电极的电位:300V
晶圆温度:中心侧=50℃
处理气体的流量:C4F8/Ar/O2=50sccm/700sccm/37sccm
处理时间:40秒
表3表示晶圆W的外周侧的温度TO为40℃、50℃、60℃时的、晶圆W的中心侧和外周侧的各自的密部A1、疏部A2的第五线部112a、112b的线宽。在表3中,将晶圆W的中心侧和外周侧的密部A1的第五线部112a的线宽分别设为LI31和LO31。另外,将晶圆W的中心侧和外周侧的疏部A2的第五线部112b的线宽分别设为LI32和LO32。
[表3]
如表3所示,当在40℃~60℃之间调整外周侧的温度TO时,能够使晶圆W的中心侧和外周侧的密部A1的第五线部112a的线宽之差LI31-LO31在-1.0nm~0.6nm之间自由地变化。因而,还能够将LI31-LO31设为0,因此能够使晶圆W的中心侧和外周侧的密部A1的第五线部112a的线宽的分布变得均匀。
另外,当在40℃~60℃之间调整外周侧的温度TO时,能够使晶圆W的中心侧和外周侧的疏部A2的第五线部112b的线宽之差LI32-LO32在-11nm~7nm之间自由变化。因而,还能够将LI32-LO32设为0,因此能够使晶圆W的中心侧和外周侧的疏部A2的第五线部112b的线宽的分布也变得均匀。
如表3所示,当改变晶圆W的外周侧的温度TO时,与晶圆W的中心侧与外周侧的密部A1的线宽之差相比,晶圆W的中心侧与外周侧的疏部A2的线宽之差变化大。可以认为这是由于疏部A2的第五线部112b比密部A1的第五线部112a易于与等离子体接触并发生反应。第五线部112a、112b与等离子体发生反应时的反应速度以及发生反应后生成的反应生成物再次附着到第五线部112a、112b的附着系数取决于温度。因此,当改变晶圆W的温度时,与密部A1的第五线部112a的线宽相比,疏部A2的第五线部112b的线宽变化大。
因而,通过调整晶圆W的温度分布,能够使疏部A2的线宽与密部A1的线宽相比发生大的变化。并且,如表3所示,能够使中心侧的密部A1的线宽LI31与外周侧的密部A1的线宽LO31大致相等,并且使中心侧的疏部A2的线宽LI32与外周侧的疏部A2的线宽LO32大致相等。
以上,根据本实施方式,当通过SWP方法形成微细的掩模图案时,通过在形成作为侧壁部116a的氧化硅膜116之前对作为侧壁部116a的芯材的第二线部114a照射电子,能够使第二线部114a改性。由此,能够在形成氧化硅膜116以及对该氧化硅膜116进行回蚀时,防止由抗蚀剂膜115构成的芯材、即第二线部114a发生变形。
另外,根据本实施方式,在第一图案形成工序S13和被蚀刻膜蚀刻工序S20的任一工序中,对晶圆W的面内的温度分布进行调整。由此,能够使晶圆W的中心侧和外周侧的各自的第二线部114a和第五线部112a的线宽的分布变得均匀。
此外,在本实施方式中对在第一图案形成工序S13中蚀刻反射防止膜114且裁切第一线部115a的例子进行了说明。但是,在第一图案形成工序S13中,在没有裁切第一线部115a的情况下,即在第二线部114a的线宽L2与第一线部115a的线宽L1大致相等的情况下,也能够应用本实施方式。而且,起到与进行裁切处理的情况相同的效果。
另外,在本实施方式中对在第一图案形成工序S13和照射工序S14中、或者仅在照射工序S14中照射电子的例子进行了说明。但是,只要在进行氧化硅膜成膜工序S15之前照射电子即可。因而,也可以在光刻工序S12之后、第一图案形成工序S13之前照射电子。
(第二实施方式)
接着,参照图10对本发明的第二实施方式所涉及的掩模图案的形成方法进行说明。
本实施方式与第一实施方式的不同之处在于,在第一图案形成工序S13和被蚀刻膜蚀刻工序S20中的任一工序中均不调整晶圆W的面内的温度分布。
图10是表示适于实施本实施方式所涉及的掩模图案的形成方法的等离子体处理装置100a的概要截面图。其中,在图10中对与使用图1进行说明的部分相同的部分附加相同的附图标记,并省略说明。
如图10所示,本实施方式所涉及的等离子体处理装置100a与在第一实施方式中参照图1进行说明的等离子体处理装置100的不同之处在于,在基座12上没有设置温度分布调整部。除了没有设置温度分布调整部这一点之外,其它方面与使用图1进行说明的等离子体处理装置100相同。
在本实施方式中没有设置温度分布调整部,在基座12的内部例如仅设置有沿圆周方向延伸的环状的制冷剂流路48。从未图示的冷冻单元经由配管50、52对制冷剂流路48循环供给规定温度的制冷剂、例如冷却水。能够通过制冷剂的温度来控制静电卡盘40上的晶圆W的温度。
另外,与第一实施方式同样地,为了进一步提高晶圆W的温度的精度,将来自未图示的传热气体供给部的传热气体、例如氦气经由气体供给管54和基座12内部的气体通路56供给到静电卡盘40与晶圆W之间。
还能够使本实施方式所涉及的掩模图案的形成方法以及半导体装置的制造方法与参照图3和图8进行说明的第一实施方式所涉及的方法相同。其中,在本实施方式中,利用不具有温度分布调整部的等离子体处理装置100a来进行处理,因此在第一图案形成工序S13和被蚀刻膜蚀刻工序S20的任一工序中均不调整晶圆W的面内的温度分布。
在本实施方式中,当通过SWP方法形成微细的掩模图案时,也通过在形成作为侧壁部116a的氧化硅膜116之前对作为侧壁部116a的芯材的第二线部114a照射电子,来使第二线部114a改性。由此,能够在形成氧化硅膜116以及对该氧化硅膜116进行回蚀时,防止由抗蚀剂膜115构成的芯材、即第二线部114a发生变形。
在本实施方式中,在第一图案形成工序S13中,也能够适用于不裁切第一线部115a的情况,起到与进行裁切的情况相同的效果。另外,在本实施方式中也可以在光刻工序S12之后、第一图案形成工序S13之前照射电子。
以上,对本发明的优选实施方式进行了说明,但是本发明并不限定于上述特定的实施方式,能够在权利要求书中记载的本发明的宗旨的范围内进行各种变形、变更。
本国际申请基于2010年4月2日申请的日本专利申请2010-085956要求优先权,在此援引其全部内容。

Claims (7)

1.一种掩模图案的形成方法,包括以下工序:
第一图案形成工序,通过将由形成在反射防止膜上的光致抗蚀剂膜构成的第一线部作为掩模对上述反射防止膜进行蚀刻,来形成包括第二线部的图案,该第二线部包括上述光致抗蚀剂膜和上述反射防止膜;
照射工序,对上述光致抗蚀剂膜照射电子,以防止在后续的氧化硅膜成膜工序中作为芯材而发挥功能的上述第二线部表面粗糙或者发生变形;
氧化硅膜成膜工序,以均等地覆盖上述第二线部的方式形成氧化硅膜;
回蚀工序,从上述第二线部的上部去除上述氧化硅膜,并且对上述氧化硅膜进行回蚀以使该氧化硅膜作为第二线部的侧壁部残留;以及
第二图案形成工序,通过对上述第二线部进行灰化,来形成包括第三线部的掩模图案,该第三线部由上述氧化硅膜构成并作为上述侧壁部而残留。
2.根据权利要求1所述的掩模图案的形成方法,其特征在于,
在上述照射工序中,对上述第二线部所包括的上述光致抗蚀剂膜照射电子。
3.根据权利要求1所述的掩模图案的形成方法,其特征在于,
在上述第一图案形成工序中,一边对上述第一线部照射电子,一边对上述反射防止膜进行蚀刻。
4.根据权利要求1所述的掩模图案的形成方法,其特征在于,
上述第一图案形成工序还包括对上述第一线部进行裁切的工序,来形成包括上述第二线部的图案,其中,该第二线部具有比上述第一线部的线宽小的线宽,且包括上述光致抗蚀剂膜和上述反射防止膜。
5.根据权利要求1所述的掩模图案的形成方法,其特征在于,
在上述第一图案形成工序中,通过对基板的面内的温度分布进行调整,能够对上述基板的面内的上述第二线部的线宽的分布进行控制。
6.一种半导体装置的制造方法,包括以下工序:
层叠工序,在基板上层叠被蚀刻膜、掩膜、反射防止膜以及光致抗蚀剂膜;
光刻工序,利用光刻技术,由上述光致抗蚀剂膜形成第一线部;
掩模图案形成工序,利用根据权利要求1所述的掩模图案的形成方法来形成上述掩模图案;
掩膜蚀刻工序,使用所形成的上述掩模图案对上述掩膜进行蚀刻,由此形成由上述掩膜构成的第四线部;以及
被蚀刻膜蚀刻工序,将所形成的上述第四线部作为掩模对上述被蚀刻膜进行蚀刻,由此形成由上述被蚀刻膜构成的第五线部。
7.根据权利要求6所述的半导体装置的制造方法,其特征在于,
在上述被蚀刻膜蚀刻工序中,通过对上述基板的面内的温度分布进行调整,能够对上述基板的面内的上述第五线部的线宽的分布进行控制。
CN201180018012.1A 2010-04-02 2011-03-28 掩模图案的形成方法以及半导体装置的制造方法 Active CN102822943B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010-085956 2010-04-02
JP2010085956A JP4733214B1 (ja) 2010-04-02 2010-04-02 マスクパターンの形成方法及び半導体装置の製造方法
PCT/JP2011/057618 WO2011125605A1 (ja) 2010-04-02 2011-03-28 マスクパターンの形成方法及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
CN102822943A CN102822943A (zh) 2012-12-12
CN102822943B true CN102822943B (zh) 2015-10-21

Family

ID=44461724

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180018012.1A Active CN102822943B (zh) 2010-04-02 2011-03-28 掩模图案的形成方法以及半导体装置的制造方法

Country Status (6)

Country Link
US (1) US20130023120A1 (zh)
JP (1) JP4733214B1 (zh)
KR (1) KR101427505B1 (zh)
CN (1) CN102822943B (zh)
TW (1) TWI450317B (zh)
WO (1) WO2011125605A1 (zh)

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101982366B1 (ko) * 2011-09-28 2019-05-24 도쿄엘렉트론가부시키가이샤 에칭 방법 및 장치
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5905735B2 (ja) * 2012-02-21 2016-04-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5673900B2 (ja) * 2012-12-28 2015-02-18 大日本印刷株式会社 ナノインプリントモールドの製造方法
US8828839B2 (en) * 2013-01-29 2014-09-09 GlobalFoundries, Inc. Methods for fabricating electrically-isolated finFET semiconductor devices
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104425223B (zh) * 2013-08-28 2017-11-03 中芯国际集成电路制造(上海)有限公司 图形化方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6382055B2 (ja) * 2014-10-07 2018-08-29 東京エレクトロン株式会社 被処理体を処理する方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6366454B2 (ja) * 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
CN105826197A (zh) * 2015-01-08 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US9589964B1 (en) 2015-06-24 2017-03-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6537473B2 (ja) * 2015-10-06 2019-07-03 東京エレクトロン株式会社 被処理体を処理する方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
JP6236481B2 (ja) * 2016-02-17 2017-11-22 東京エレクトロン株式会社 パターン形成方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6770848B2 (ja) * 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6757624B2 (ja) * 2016-08-12 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6804280B2 (ja) * 2016-12-07 2020-12-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
JP7011947B2 (ja) * 2018-01-29 2022-02-10 東京エレクトロン株式会社 アッシング装置、アッシング方法及びコンピュータ読み取り可能な記録媒体
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) * 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111524855B (zh) * 2019-02-02 2023-05-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN112802736A (zh) * 2019-11-14 2021-05-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6423475B1 (en) * 1999-03-11 2002-07-23 Advanced Micro Devices, Inc. Sidewall formation for sidewall patterning of sub 100 nm structures
US7396771B2 (en) * 2005-09-15 2008-07-08 Hitachi High-Technologies Corporation Plasma etching apparatus and plasma etching method

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1098162A (ja) * 1996-09-20 1998-04-14 Hitachi Ltd 半導体集積回路装置の製造方法
US6632587B2 (en) * 2001-05-22 2003-10-14 Macronix International Co., Ltd. Method of enhancing photoresist anti-etching ability
US6811956B1 (en) * 2002-06-24 2004-11-02 Advanced Micro Devices, Inc. Line edge roughness reduction by plasma treatment before etch
JP4574257B2 (ja) 2004-07-15 2010-11-04 富士フイルム株式会社 大口径広角レンズ
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20090087990A1 (en) * 2007-09-28 2009-04-02 Tokyo Electron Limited Manufacturing method, manufacturing apparatus, control program and program recording medium of semiconductor device
JP5236983B2 (ja) * 2007-09-28 2013-07-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
KR100948093B1 (ko) * 2007-12-21 2010-03-16 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
TWI452419B (zh) * 2008-01-28 2014-09-11 Az Electronic Mat Ip Japan Kk 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
US8019458B2 (en) * 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
JP5264374B2 (ja) * 2008-09-02 2013-08-14 東京エレクトロン株式会社 パターン形状検査方法及び半導体装置の製造方法
US20100081285A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6423475B1 (en) * 1999-03-11 2002-07-23 Advanced Micro Devices, Inc. Sidewall formation for sidewall patterning of sub 100 nm structures
US7396771B2 (en) * 2005-09-15 2008-07-08 Hitachi High-Technologies Corporation Plasma etching apparatus and plasma etching method

Also Published As

Publication number Publication date
TW201216324A (en) 2012-04-16
CN102822943A (zh) 2012-12-12
JP2011216817A (ja) 2011-10-27
TWI450317B (zh) 2014-08-21
WO2011125605A1 (ja) 2011-10-13
JP4733214B1 (ja) 2011-07-27
KR20120132693A (ko) 2012-12-07
US20130023120A1 (en) 2013-01-24
KR101427505B1 (ko) 2014-08-07

Similar Documents

Publication Publication Date Title
CN102822943B (zh) 掩模图案的形成方法以及半导体装置的制造方法
US12062522B2 (en) Plasma etching method and plasma etching apparatus
CN107438892B (zh) 等离子处理方法以及等离子处理装置
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
US6869542B2 (en) Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
KR100861260B1 (ko) 플라즈마 에칭 방법 및 컴퓨터판독 가능한 기억 매체
JP3283477B2 (ja) ドライエッチング方法および半導体装置の製造方法
US20060201911A1 (en) Methods of etching photoresist on substrates
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US20150099366A1 (en) Plasma etching method
US11495469B2 (en) Method for processing substrates
KR100270269B1 (ko) 마이크로파 플라즈마 처리장치 및 방법
KR20100004891A (ko) 플라즈마 에칭 방법, 제어 프로그램 및 컴퓨터 기억 매체
JP2024096717A (ja) パターニング応用のための高密度炭素膜
JP2006032908A (ja) 半導体装置の製造方法
US20220319841A1 (en) Deposition of low-stress carbon-containing layers
US10607835B2 (en) Etching method
Yang et al. Asymmetric etching profile control during high aspect ratio Plasma etch
US20070212887A1 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
KR100427524B1 (ko) 리모트 플라즈마를 이용하는 배치형 애싱장치
WO2023233673A1 (ja) エッチング方法及びプラズマ処理装置
JP4990551B2 (ja) ドライエッチング方法
KR101088969B1 (ko) 플라즈마 처리 장치 및 플라즈마 에칭 방법
CN117075424A (zh) 一种优化光刻胶线宽粗糙度的方法
KR20050064201A (ko) 반도체 소자의 게이트 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant