KR101088969B1 - 플라즈마 처리 장치 및 플라즈마 에칭 방법 - Google Patents

플라즈마 처리 장치 및 플라즈마 에칭 방법 Download PDF

Info

Publication number
KR101088969B1
KR101088969B1 KR1020090026118A KR20090026118A KR101088969B1 KR 101088969 B1 KR101088969 B1 KR 101088969B1 KR 1020090026118 A KR1020090026118 A KR 1020090026118A KR 20090026118 A KR20090026118 A KR 20090026118A KR 101088969 B1 KR101088969 B1 KR 101088969B1
Authority
KR
South Korea
Prior art keywords
plasma
voltage
high frequency
etching
gas
Prior art date
Application number
KR1020090026118A
Other languages
English (en)
Other versions
KR20090103805A (ko
Inventor
마사노부 혼다
겐지 마스자와
히로유키 나카야마
마나부 이와타
마나부 사토
가즈키 나리시게
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Priority to KR1020090026118A priority Critical patent/KR101088969B1/ko
Publication of KR20090103805A publication Critical patent/KR20090103805A/ko
Application granted granted Critical
Publication of KR101088969B1 publication Critical patent/KR101088969B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 피처리 기판상의 전자 밀도 혹은 프로세스 특성의 분포 특성을 용이하고 또한 자유롭게 제어할 수 있는 플라즈마 처리 장치, 특히 용량 결합형의 플라즈마 처리 장치 및 이것을 사용하는 플라즈마 에칭 방법을 제공하는 것을 목적으로 한다. 이 용량 결합형 플라즈마 처리 장치는 상부 전극을 직경 방향에서 내측 상부 전극(60)과 외측 상부 전극(62)으로 2분할하고, 2개의 가변 직류 전원(80, 82)으로부터 독립된 제 1 및 제 2 직류 전압 VC, VE를 양 상부 전극(60, 62)에 동시에 인가하도록 하고 있다. 이들 2개의 직류 전압 VC, VE의 조합을 적절히 선택하는 것에 의해, 각종 어플리케이션(application)에 있어서 플라즈마 프로세스나 에칭 특성의 균일성을 향상시킬 수 있다.

Description

플라즈마 처리 장치 및 플라즈마 에칭 방법{PLASMA PROCESSING APPARATUS AND PLASMA ETCHING METHOD}
본 발명은 피처리 기판에 플라즈마(plasma) 처리를 실시하는 기술에 관한 것으로서, 특히 용량 결합형의 플라즈마 처리 장치 및 이것을 사용하는 플라즈마 에칭(etching) 방법에 관한 것이다.
반도체 디바이스나 FPD(Flat Panel Display)의 제조 프로세스에 있어서의 에칭(etching), 퇴적, 산화, 스퍼터링(sputtering) 등의 처리에서는 처리 가스에 비교적 저온에서 양호한 반응을 실행시키기 위해 플라즈마가 흔히 이용되고 있다. 종래부터, 낱장식의 플라즈마 처리 장치에서는 대구경(大口徑) 플라즈마를 용이하게 실현할 수 있는 용량 결합형의 플라즈마 처리 장치가 주류로 되고 있다.
일반적으로, 용량 결합형의 플라즈마 처리 장치는 진공 챔버(chamber)로서 구성되는 처리용기내에 상부 전극과 하부 전극을 평행하게 배치하고, 하부 전극의 위에 피처리 기판(반도체 웨이퍼(wafer), 유리 기판 등)을 탑재하고, 양 전극간에 고주파를 인가한다. 그러면, 양 전극간에서 고주파 전계(電界)에 의해서 가속된 전 자, 전극으로부터 방출된 2차 전자, 또는 가열된 전자가 처리 가스의 분자와 전리(電離) 충돌을 일으켜, 처리 가스의 플라즈마가 발생하고, 플라즈마중의 래디컬(radicals)이나 이온(ions)에 의해서 기판 표면에 원하는 미세가공 예를 들면 에칭 가공이 실시된다.
플라즈마 에칭 장치에 있어서는 플라즈마 생성(고주파 방전)에 바람직한 비교적 높은 주파수(통상 40㎒ 이상)의 제 1 고주파와 기판에의 이온의 인입(바이어스(bias))에 바람직한 비교적 낮은 주파수(통상 13.56㎒ 이하)의 제 2 고주파를 하부 전극에 동시에 인가하는 하부 2주파 인가 방식이 다용되고 있다.
그런데, 대구경 플라즈마를 취급하는 용량 결합형의 플라즈마 처리 장치에서는 기판상의 각 위치에서 플라즈마 프로세스를 균일하게 하는 것이 곤란하고, 제품 양품률의 관점에서 이것을 해결하는 것이 큰 과제로 되어 있다. 일반적으로, 플라즈마 처리 장치에 있어서는 프로세스 파라미터(process parameter)(압력, RF파워, 가스종(種) 등)에 따라 챔버내의 플라즈마 밀도 분포가 변동하기 쉽기 때문에, 임의의 프로세스 조건 하에서 균일성이 좋은 프로세스 결과가 얻어져도, 가공 특성의 요구 사양에 맞추어 프로세스 조건을 변경하면, 균일성이 악화되는 경우가 많이 발생하고, 광범위한 프로세스 조건에 대해 항상 프로세스의 균일성을 보증할 수 있는 챔버 구조를 실현하는 것은 곤란하다. 특히, 기판상의 다층 구조의 막을 복수의 스텝에서 연속적으로 에칭 가공하는 경우, 각 스텝 또는 각 피가공막마다 사용하는 프로세스 파라미터나 에칭 마스크(etching mask)의 재질이 다르므로, 모든 스텝을 통해 에칭 특성이 양호한 균일성을 얻는 것은 곤란하다.
그 점에서, 플라즈마 밀도 분포를 가변하기 위해 종래 알려져 있는 전극의 임피던스를 전기 회로에서 제어하는 방법(특허문헌 1)은 액티브한 제어법이 아니기 때문에, 다종 다양한 프로세스 혹은 프로세스 조건의 변경에 대해 플렉시블(flexible)하게 대응하는 것이 곤란하여, 오늘날의 플라즈마 프로세스에서 요구되는 균일성의 레벨에 대해 불충분하였다.
(특허문헌 1) 일본국 특허공개공보 제2004-96066호
본 발명은 이러한 종래 기술의 문제점을 감안해서 이루어진 것으로서, 피처리 기판상의 전자 밀도 혹은 프로세스 특성의 분포 특성을 용이하고 또한 자유롭게 제어할 수 있는 용량 결합형의 플라즈마 처리 장치를 제공 하는 것을 목적으로 한다. 또한, 본 발명은 각종 에칭 가공에 있어서 에칭 특성의 균일성을 향상시키는 플라즈마 에칭 방법을 제공하는 것을 목적으로 한다.
상기의 목적을 달성하기 위해, 본 발명의 플라즈마 처리 장치는 진공배기 가능한 처리용기와, 상기 처리용기내에서 피처리 기판을 탑재하는 하부 전극과, 상기 처리용기내에서 상기 하부 전극의 정면에 배치되는 내측 상부 전극과, 상기 처리용기내에서 상기 내측 상부 전극으로부터 절연해서 그 반경 방향 외측에 링형상으로 배치되는 외측 상부 전극과, 상기 내측 및 외측 상부 전극과 상기 하부 전극의 사이의 처리공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와, 고주파 방전에 의해서 상기 처리 가스의 플라즈마를 생성하기 위한 제 1 고주파를 상기 하부 전극 혹은 상기 내측 및 외측 상부 전극에 인가하는 제 1 고주파 급전부와, 상기 내측 상부 전극에 가변(可變)의 제 1 직류 전압을 인가하는 제 1 직류 급전부와, 상기 외측 상부 전극에 가변의 제 2 직류 전압을 인가하는 제 2 직류 급전부를 갖는다.
상기의 구성에 있어서는 제 1 직류 급전부로부터 내측 상부 전극에 인가하는 제 1 직류 전압과 제 2 직류 급전부로부터 외측 상부 전극에 인가하는 제 2 직류 전압을 독립적으로 가변함으로써, 하부 전극에 탑재되는 기판상에서 전자 밀도 분포 혹은 프로세스 특성을 제어하는 것이 가능하고, 양 직류 전압의 적절한 조합에 의해 프로세스의 균일성을 향상시킬 수 있다.
본 발명의 바람직한 1형태로서, 제 1 및 제 2 직류 급전부가 각각 독립된 직류 전원을 가져도 좋다. 별도의 바람직한 1형태로서, 제 1 및 제 2 직류 급전부가 공통(단일)의 직류 전원을 갖고, 제 1 직류 급전부가 해당 직류 전원의 출력 단자와 내측 상부 전극의 사이에 접속되는 전압 강하용의 가변 저항기를 갖는 구성으로 해도 좋다.
또한, 제 2 직류 전압의 작용 효과를 강하게 하기 위해 내측 상부 전극보다도 외측 상부 전극을 하부 전극측으로 한층 돌출시켜 배치하는 구성도 바람직하다.
본 발명은 임의의 플라즈마 처리 장치에 적용 가능하지만, 특히 플라즈마중의 이온을 인입하기 위한 제 2 고주파를 하부 전극에 인가하는 제 2 고주파 급전부를 갖는 타입의 플라즈마 처리 장치에 바람직하게 적용할 수 있다.
본 발명의 제 1 관점에 있어서의 플라즈마 에칭 방법은 본 발명의 상기 플라즈마 처리 장치를 이용하여 Si를 함유하는 절연막을 에칭 가공하는 플라즈마 에칭 방법으로서, 상기 제 1 및 제 2 직류 전압이 모두 0V보다 크지 않고, 상기 제 2 직류 전압의 절대값이 상기 제 1 직류 전압의 절대값 이상인 것을 특징으로 한다.
본 발명의 더욱 구체적인 1형태에 있어서는 SiO2막에 콘택트 홀(contact hole)을 형성하는 에칭 가공에 있어서, 제 1 직류 전압이 -600V∼-150V로 선정되고, 제 2 직류 전압이 -1000V∼-150V로 선정된다. 제 2 고주파의 주파수는 2㎒∼3.2㎒로 선정되어도 좋다. 제 2 직류 전압을 가변함으로써, 기판 중심부의 에칭 레이트(etching rate)를 거의 또는 그다지 변경하지 않고 기판 에지(edge)부의 에칭 레이트를 효과적으로 가변하는 것이 가능하여, 에칭 레이트의 균일성을 향상시킬 수도 있다.
별도의 1형태에 있어서는 SiOC막에 비어 홀(via hole)을 형성하는 에칭 가공에 있어서, 제 1 직류 전압이 -900V∼-300V로 선정되고, 제 2 직류 전압이 -1500V∼-300V로 선정된다. 제 2 고주파의 주파수는 10㎒∼13.56㎒로 선정되어도 좋다. 또한, 처리 가스로서, 플로로카본(fluorocarbon) 가스와 불활성 가스와 O2 가스 또는 N2 가스를 포함하는 에칭 가스를 바람직하게 사용할 수 있다. 또한, 제 2 직류 전압을 가변함으로써, 기판 중심부의 에칭 레이트를 거의 또는 그다지 변경하지 않고 기판 에지부의 에칭 레이트를 효과적으로 가변하는 것이 가능하여, 에칭 레이트의 균일성을 향상시킬 수도 있다.
별도의 1형태에 있어서는 다층 레지스트(resist)법에 있어서 중간층 또는 최하층의 SiN막에 마스크 패턴(mask pattern)을 전사(轉寫)하기 위한 에칭 가공에 있어서, 제 1 직류 전압이 -300V∼0V로 선정되고, 제 2 직류 전압이 -900V∼-300V로 선정된다. 제 2 고주파의 주파수는 10㎒∼13.56㎒로 선정되어도 좋다. 이 경우 는 제 2 직류 전압을 가변함으로써, 역시 기판 중심부의 에칭 레이트를 거의 또는 그다지 변경하지 않고 기판 에지부의 에칭 레이트를 효과적으로 가변할 수 있을 뿐 아니라, 패턴의 CD 시프트(shift)도 기판 중심부에 비해 기판 에지부에서 효과적으로 가변할 수 있으므로, CD 균일성을 향상시킬 수 있다.
본 발명의 제 2 관점에 있어서의 플라즈마 에칭 방법은 본 발명의 플라즈마 처리 장치를 이용하여 유기막을 에칭 가공하는 플라즈마 에칭 방법으로서, 제 1 및 제 2 직류 전압이 모두 0V보다 크지 않고, 제 2 직류 전압의 절대값이 제 1 직류 전압의 절대값보다도 큰 것을 특징으로 한다. 바람직하게는 제 1 직류 전압이 -100V∼0V로 선정되고, 제 2 직류 전압이 -900V∼0V로 선정된다. 제 2 고주파의 주파수는 10㎒∼13.56㎒로 선정되어도 좋다. 또한, 처리 가스로서, O2 가스 또는 N2 가스를 포함하는 에칭 가스를 바람직하게 사용할 수 있다. 이 경우는 제 2 직류 전압을 가변함으로써, 기판 에지부의 에칭 레이트를 거의 또는 그다지 변경하지 않고 기판 중심부의 에칭 레이트를 효과적으로 가변하는 것이 가능하여, 에칭 레이트의 균일성을 향상시킬 수도 있다.
본 발명의 플라즈마 처리 장치에 따르면, 상기와 같은 구성 및 작용에 의해, 피처리 기판상의 전자 밀도 혹은 프로세스 특성의 분포 특성을 용이하고 또한 자유롭게 제어할 수 있다.
또한, 본 발명의 플라즈마 에칭 방법에 따르면, 상기와 같은 구성 및 작용에 의해, 각종 에칭 가공에 있어서 에칭 특성의 균일성을 향상시킬 수 있다.
이하, 첨부 도면을 참조해서 본 발명의 바람직한 실시형태를 설명한다.
도 1에, 본 발명의 1실시형태에 의한 플라즈마 에칭 장치의 구성을 나타낸다. 이 플라즈마 처리 장치는 하부 2주파 인가 방식을 취하는 캐소드 커플(cathod coupling)의 용량 결합형 플라즈마 에칭 장치로서 구성되어 있고, 예를 들면 알루미늄 또는 스테인리스강(stainless steel) 등으로 이루어지는 금속제의 원통형 챔버(처리용기)(10)를 갖고 있다. 챔버(10)는 보안 접지되어 있다.
챔버(10)내에는 피처리 기판으로서 예를 들면 반도체 웨이퍼(W)를 탑재하는 원반(円盤)형상의 서셉터(susceptor)(12)가 하부 전극으로서 수평으로 배치되어 있다. 이 서셉터(12)는 예를 들면 알루미늄으로 이루어지고, 챔버(10)의 바닥으로부터 수직 위쪽으로 연장하는 절연성의 통형상 지지부(14)에 지지되어 있다. 이 통형상 지지부(14)의 외주를 따라 챔버(10)의 바닥으로부터 수직 위쪽으로 연장하는 도전성의 통형상 지지부(내벽부)(16)와 챔버(10)의 측벽의 사이에 환상(環狀)의 배기로(18)가 형성되어 있고, 이 배기로(18)의 입구에 링(ring)형상의 배플(baffle)판(배기 링)(20)이 부착되고, 배기로(18)의 바닥에 배기구(22)가 마련되어 있다. 배기구(22)에는 배기관(24)을 거쳐서 배기 장치(26)가 접속되어 있다. 배기 장치(26)는 터보(turbo) 분자 펌프 등의 진공 펌프를 갖고 있어, 챔버(10)내의 처리공간을 원하는 진공도까지 감압할 수 있다. 챔버(10)의 측벽에는 반도체 웨이퍼(W)의 반출입구를 개폐하는 게이트밸브(gate valve)(28)가 부착되어 있다.
서셉터(12)에는 제 1 및 제 2 고주파 전원(30, 32)이 매칭 유닛(matching unit)(34) 및 급전막대(36)을 거쳐서 전기적으로 접속되어 있다. 여기서, 제 1 고주파 전원(30)은 주로 플라즈마의 생성에 기여하는 주파수(통상 40㎒ 이상)의 제 1 고주파를 출력한다. 제 2 고주파 전원(32)은 주로 서셉터(12)상의 반도체 웨이퍼(W)에 대한 이온의 인입에 기여하는 주파수(통상 13.56㎒ 이하)의 제 2 고주파를 출력한다. 매칭 유닛(34)에는 제 1 고주파 전원(30)측의 임피던스(impedance)와 부하(주로 전극, 플라즈마, 챔버)측의 임피던스의 사이에서 정합을 취하기 위한 제 1 정합기와, 제 2 고주파 전원(32)측의 임피던스와 부하측의 임피던스의 사이에서 정합을 취하기 위한 제 2 정합기가 수용되어 있다.
서셉터(12)의 위에는 처리 대상의 반도체 웨이퍼(W)가 탑재되고, 이 반도체 웨이퍼(W)를 둘러싸도록 포커스 링(focus ring)(보정 링)(38)이 마련되어 있다. 이 포커스 링(38)은 프로세스에의 악영향이 적은 도전재 예를 들면 Si, SiC 등으로 이루어지고, 소모 부품으로서 서셉터(12)의 상면에 장착 및 분리 가능하게 부착된다.
서셉터(12)의 상면에는 웨이퍼 흡착용의 정전척(40)이 마련되어 있다. 이 정전척(40)은 막형상 또는 판형상의 유전체의 내에 시트(sheet) 형상 또는 메쉬(mesh) 형상의 도전체를 사이에 두고 있다. 해당 도전체에는 챔버(10)의 외측에 배치되는 직류 전원(42)이 온/오프 전환 스위치(44) 및 급전선(46)을 거쳐서 전기적으로 접속되어 있다. 직류 전원(42)으로부터 인가되는 직류 전압에 의해, 쿨 롱(Coulomb)력으로 반도체 웨이퍼(W)를 정전척(40)상에 흡착 유지할 수 있다.
서셉터(12)의 내부에는 예를 들면 원주 방향으로 연장하는 환상의 냉매실(48)이 마련되어 있다. 이 냉매실(48)에는 칠러 유닛(chiller unit)(도시하지 않음)으로부터 배관(50, 52)을 거쳐서 소정 온도의 냉매 예를 들면 냉각수가 순환 공급된다. 냉매의 온도에 의해서 정전척(40)상의 반도체 웨이퍼(W)의 온도를 제어할 수 있다. 또한, 웨이퍼 온도의 정밀도를 한층 높이기 위해, 전열 가스 공급부(도시하지 않음)로부터의 전열 가스 예를 들면 He 가스가, 가스 공급관(54) 및 서셉터(12) 내부의 가스 통로(56)를 거쳐서 정전척(40)과 반도체 웨이퍼(W)의 사이에 공급된다.
챔버(10)의 천장에는 서셉터(12)와 평행하게 대향해서 원반형상의 내측(또는 중심) 상부 전극(60) 및 링형상의 외측(또는 주변) 상부 전극(62)이 동심(同心)형상으로 마련되어 있다. 내측 상부 전극(60)은 바람직하게는 반도체 웨이퍼(W)와 동일 정도의 구경(직경)을 갖고, 외측 상부 전극(62)은 바람직하게는 포커스 링(보정 링)(38)과 동일 정도의 구경(내경·외경)을 갖고 있다. 단, 내측 상부 전극(60)과 외측 상부 전극(62)은 서로 전기적(더욱 정확하게는 DC적)으로 절연되어 있다. 도시한 구성예에서는 양 전극(60, 62)의 사이에 예를 들면 세라믹(ceramic)으로 이루어지는 링형상의 절연체(63)가 삽입되어 있다.
내측 상부 전극(60)은 서셉터(12)와 바로 정면으로 대향하는 전극판(64)과, 이 전극판(64)을 그 배후(背後)(위)로부터 장착 및 분리 가능하게 지지하는 전극 지지체(66)를 갖고 있다. 전극판(64)의 재질은 프로세스에의 악영향이 적고 또한 양호한 DC 인가 특성을 유지할 수 있는 Si 혹은 SiC 등의 실리콘 함유 도전재가 바람직하다. 전극 지지체(66)는 알루마이트(alumite) 처리된 알루미늄으로 구성되어도 좋다.
외측 상부 전극(62)도, 서셉터(12)와 대향하는 전극판(68)과, 이 전극판(68)을 그 배후(위)로부터 장착 및 분리 가능하게 지지하는 전극 지지체(70)를 갖고 있다. 이들 전극판(68) 및 전극 지지체(70)도, 내측 상부 전극(60)의 전극판(64) 및 전극 지지체(66)와 각각 동일한 재질로 구성되어도 좋다.
이 실시형태에서는 상부 전극(60, 62)과 서셉터(12)의 사이에 설정되는 처리공간(PS)에 처리 가스를 공급하기 위해, 내측 상부 전극(60)를 겸하는 샤워헤드(shower head)를 마련하고 있다. 더욱 상세하게는 전극 지지체(66)의 내부에 가스 확산실(72)을 마련하고, 이 가스 확산실(72)로부터 서셉터(12)측으로 관통하는 다수의 가스 토출 구멍(74)을 전극 지지체(66) 및 전극판(64)에 형성하고 있다. 가스 확산실(72)의 상부에 마련되는 가스 도입구(72a)에는 처리 가스 공급부(76)로부터의 가스 공급관(78)이 접속되어 있다. 또, 내측 상부 전극(60) 뿐만 아니라 외측 상부 전극(62)도 샤워헤드를 겸하도록 마련하는 구성으로 해도 좋다.
챔버(10)의 외측에는 예를 들면 -2000∼+1000V의 범위에서 가변의 직류 전압 VC, VE를 각각 출력할 수 있는 2개의 가변 직류 전원(80, 82)이 배치되어 있다. 본 발명의 플라즈마 에칭 방법에 있어서는 후술하는 바와 같이, 양 직류 전압 VC, VE는 통상은 0V 이하의 값, 즉 부극성(負極性) (-)의 값에서 사용되고, 각각의 절대값을 |VC|, |VE|로 하면, |VC|≤|VE|의 관계를 유지해서 병용된다.
한쪽의 가변 직류 전원(80)의 출력 단자는 온/오프 전환 스위치(84) 및 필터(filter) 회로(86)를 거쳐서 내측 상부 전극(60)에 전기적으로 접속되어 있다. 필터 회로(86)는 가변 직류 전원(80)으로부터 출력되는 제 1 직류 전압 VC를 통과시켜 내측 상부 전극(60)에 인가하는 한편, 서셉터(12)로부터 처리공간(PS) 및 내측 상부 전극(60)을 통해 직류 급전 라인(88)에 들어온 고주파를 접지 라인에 흘리고 가변 직류 전원(80)측에는 흘리지 않도록 구성되어 있다.
다른쪽의 가변 직류 전원(82)의 출력 단자는 온/오프 전환 스위치(90) 및 필터 회로(92)를 거쳐서 외측 상부 전극(62)에 전기적으로 접속되어 있다. 필터 회로(92)는 가변 직류 전원(82)으로부터 출력되는 제 2 직류 전압 VE를 통과시켜 외측 상부 전극(62)에 인가하는 한편, 서셉터(12)로부터 처리공간(PS) 및 외측 상부 전극(62)을 통해 직류 급전 라인(94)에 들어온 고주파를 접지 라인에 흘리고 가변 직류 전원(82)측에는 흘리지 않도록 구성되어 있다.
또한, 챔버(10)내에 처리 공간(PS)에 면하는 적당한 개소(箇所)로서 예를 들면 외측 상부 전극(62)의 반경 방향 외측에 예를 들면 Si, SiC 등의 도전성 부재로 이루어지는 링형상의 DC 그라운드(ground) 부품(직류 접지 전극)(96)이 부착되어 있다. 이 DC 그라운드 부품(96)은 예를 들면 세라믹으로 이루어지는 링형상의 절연체(98)에 부착되는 동시에 챔버(10)의 천장벽에도 접속되어 있고, 챔버(10)를 거쳐서 상시 접지되어 있다. 플라즈마 처리중에 가변 직류 전원(80, 82)으로부터 상부 전극(60, 62)에 직류 전압(VC, VE)을 인가하면, 플라즈마를 거쳐서 상부 전극(60, 62)과 DC 그라운드 부품(96)의 사이에서 직류의 전자 전류가 흐르도록 되어 있다.
이 플라즈마 에칭 장치내의 각 부 예를 들면 배기 장치(26), 고주파 전원(30, 32), 정전척용의 온/오프 전환 스위치(44), 처리 가스 공급부(76), DC 인가용의 온/오프 전환 스위치(84, 90), 칠러 유닛(도시하지 않음), 전열 가스 공급부(도시하지 않음) 등의 개개의 동작 및 장치 전체의 동작(시퀸스(sequence))은 예를 들면 마이크로 컴퓨터(microcomputer)로 이루어지는 제어부(도시하지 않음)에 의해서 제어된다.
이 플라즈마 에칭 장치에 있어서, 에칭을 실행하기 위해서는 우선 게이트밸브(28)를 열림 상태로 해서 가공 대상의 반도체 웨이퍼(W)를 챔버(10)내에 반입하여, 정전척(40)의 위에 탑재한다. 그리고, 처리 가스 공급부(76)로부터 에칭 가스(일반적으로 혼합 가스)를 소정의 유량으로 챔버(10)내에 도입하고, 배기 장치(26)에 의해 챔버(10)내의 압력을 설정값으로 조절한다. 또한, 제 1 및 제 2 고주파 전원(30, 32)을 온(on)으로 해서 제 1 고주파(40㎒ 이상) 및 제 2 고주파(13.56㎒ 이하)를 각각 소정의 파워로 출력시키고, 이들 고주파를 매칭 유닛(34) 및 급전막대(36)을 거쳐서 서셉터(12)에 인가한다. 또한, 스위치(44)를 온으로 하고, 정전 흡착력에 의해서, 정전척(40)과 반도체 웨이퍼(W)의 사이의 접촉 계면(界面)에 전열 가스(He 가스)를 감금한다. 샤워헤드(60)로부터 토출된 에칭 가스는 양 전극(12),(60, 62)간에서 고주파의 방전에 의해서 플라즈마화하고, 이 플라즈마에 의 해 생성되는 래디컬이나 이온에 의해서 반도체 웨이퍼(W) 표면의 피가공막이 원하는 패턴으로 에칭된다.
이 용량 결합형 플라즈마 에칭 장치는 서셉터(12)에 40㎒ 이상이라는 플라즈마 생성에 적합한 비교적 높은 주파수의 제 1 고주파를 인가하는 것에 의해, 플라즈마를 바람직한 해리(解離) 상태에서 고밀도화하고, 더욱 저압의 조건하에서도 고밀도 플라즈마를 형성할 수 있다. 그와 동시에, 서셉터(12)에 13.56㎒ 이하라는 이온 인입에 적합한 비교적 낮은 주파수의 제 2 고주파를 인가하는 것에 의해, 반도체 웨이퍼(W)의 피가공막에 대해 선택성이 높은 이방성의 에칭을 실시할 수 있다. 무엇보다도, 플라즈마 생성용의 제 1 고주파는 어떠한 플라즈마 프로세스에서도 반드시 사용되지만, 이온 인입용의 제 2 고주파는 프로세스에 따라서 사용되지 않는 경우가 있다.
이 용량 결합형 플라즈마 에칭 장치에 있어서의 주된 특징은 상기와 같이 상부 전극을 직경 방향에서 내측 상부 전극(60)과 외측 상부 전극(62)으로 2분할하고, 2개의 가변 직류 전원(80, 82)으로부터 제 1 및 제 2 직류 전압 VC, VE를 양 상부 전극(60, 62)에 동시에 인가하는 구성에 있다. 이들 2개의 직류 전압 VC, VE의 조합을 적절히 선택하는 것에 의해, 각종의 어플리케이션(application)에 있어서 플라즈마 프로세스나 에칭 특성의 균일성을 향상시킬 수 있다. 이하, 이 플라즈마 에칭 장치를 사용하는 에칭 방법의 실시예를 설명한다.
Si를 포함하는 절연막의 에칭 가공으로서, SiO2막이나 SiOC막 등에 가늘고 깊은 콘택트 홀(contact hole)을 형성하는 HARC(High Aspect Ratio Contact)나 비교적 얕은 비어 홀(via hole)을 형성하는 BEOL(Back End Of Line)의 어플리케이션이 잘 알려져 있다.
도 2에, 실시형태의 플라즈마 에칭 장치를 이용해서, 블랭킷(blanket) SiO2막을 전면(全面) 에칭한 실험에서 얻어진 에칭 레이트(E/R)의 면내 분포 특성을 나타낸다. 주된 에칭 조건은 다음과 같다.
웨이퍼 구경: 300㎜
에칭 가스: C4F8/Ar/O2=45/200/30sccm
챔버내의 압력: 15mTorr
고주파 전력: 40㎒/2㎒=1000/3000W
온도: 상부 전극/챔버 측벽/하부 전극=60/60/20℃
직류 전압:VC=-300V,VE=-300V, -900V(2가지)
또한, 도 3에, 제 2 직류 전압 VE를 -300V에서 -900V로 바꾸었을 때의 웨이퍼상의 각 위치의 E/R 변화율을 나타낸다.
도 2에 나타내는 바와 같이, VC/VE=-300V/-300V의 경우에는 웨이퍼상의 E/R은 에지(edge)부가 중심부보다도 크게 움푹 패이지만, VC/VE=-300V/-900V로 하면 중심부와 에지부의 차가 줄어들어 면내 균일성이 크게 개선된다. 여기서 중요한 것은 도 3에 나타내는 바와 같이, 중심부의 E/R은 거의 변화하지 않고, 에지부의 E/R 이 현저히 변화하는 것이다. 따라서, 내측 상부 전극(60)에 인가하는 제 1 직류 전압 VC를 적당한 값(바람직하게는 -600V∼-150V)으로 선정하고, 외측 상부 전극(62)에 인가하는 제 2 직류 전압 VE를 적당한 범위(바람직하게는 -1500V∼-300V)내에서 가변함으로써, E/R의 면내 분포 특성을 자유롭게 제어하는 것이 가능하고, 면내 균일성도 용이하게 향상시킬 수 있다.
BEOL의 에칭도 블랭킷 SiO2막의 에칭과 마찬가지의 프로세스이므로, 상기 플라즈마 에칭 방법을 그대로 적용할 수 있다. 또, Si함유 절연막의 에칭에 이용하는 에칭 가스의 첨가 가스로서 O2 가스 대신에 N2 가스를 사용해도 좋다.
도 4에, 실시형태의 플라즈마 에칭 장치를 이용하여, SiO2막에 구경 0.25㎛의 콘택트 홀을 형성하는 HARC 에칭의 실험에서 얻어진 에칭 레이트(E/R)의 면내 분포 특성을 나타낸다. 주된 에칭 조건은 상기 블랭킷 SiO2막의 에칭과 동일하고, 제 2 직류 전압 VE는 -300V와 -900V의 2가지이다. 도 5에, 제 2 직류 전압 VE를 -300V에서 -900V로 바꾸었을 때의 웨이퍼상의 각 위치의 E/R변화율을 나타낸다.
도 4 및 도 5에 나타내는 바와 같이, HARC에서도, 블랭킷 SiO2막의 에칭과 마찬가지의 특성이 얻어졌다. 즉, 내측 상부 전극(60)에 인가하는 제 1 직류 전압 VC를 적당한 값(예를 들면 -300V)으로 선정하고, 외측 상부 전극(62)에 인가하는 제 2 직류 전압 VE를 -900V∼-300V의 범위내에서 가변하면, 중심부의 E/R을 거의 변경 하지 않고, 에지부의 E/R을 현저하게 변화시키고, 웨이퍼상의 E/R 분포 특성에 있어서 에지부가 중심부보다도 낮은 프로파일(profile), 중심부와 에지부가 대략 플랫(flat)(균일)으로 되는 프로파일, 및 에지부가 중심부보다도 높아지는 프로파일을 모두 용이하게 실현할 수 있는 것을 알 수 있다.
이 실시형태의 플라즈마 에칭 장치에 있어서는 내측 상부 전극(60)과 외측 상부 전극(62)의 사이에 전극 갭(gap) 방향으로 높이차를 마련하는 구성도 가능하고, 바람직하게는 도 6에 나타내는 바와 같이 내측 상부 전극(60)에 대해 외측 상부 전극(62)을 아래쪽으로 돌출시키는 구성을 취할 수 있다. 도 6에 있어서, 내측 상부 전극(60)과 서셉터(12)상의 반도체 웨이퍼(W)의 전극간 갭 DC는 예를 들면 30㎝로 설정되고, 외측 상부 전극(62)과 서셉터(12)상의 포커스 링(38)의 전극간 갭 DE는 예를 들면 20∼25㎝로 설정되어도 좋다.
도 7에, 도 6의 단차(段差)형 전극 갭 구조를 취하는 실시형태의 플라즈마 에칭 장치를 이용하여 상기와 마찬가지의 에칭 조건에서 HARC에 본 발명을 적용한 실험 결과(E/R 분포 특성)를 나타낸다. 이 실험 결과에 의하면,VC=-300V, VE=-600V의 조건하에서 E/R의 면내 균일성을 ±0.9%까지 개선할 수 있었다.
또, 본 발명의 HARC에의 적용에 있어서는 |VC|≤|VE|의 관계가 유지된 조건하에서, 제 1 직류 전압 VC는 -600V∼-150V의 범위내에서 선정 가능하고, 제 2 직류 전압 VE는 -1000V∼-150V의 범위내에서 선정 가능하다. 또한, 접속 구멍의 에칭 가공에서는 이온을 피가공막에 강하게 주입하도록, 서셉터(12)에 인가되는 제 2 고주파는 낮은 주파수가 바람직하고, 바람직하게는 2㎒∼3.2㎒로 선정되어도 좋다.
Si 함유막의 에칭에 있어서, 상기와 같이 제 2 직류 전압 VE의 값을 변경하는 것에 의해서 반도체 웨이퍼(W)상의 E/R 분포 특성의 프로파일을 제어할 수 있는 것은, 제 2 직류 전압 VE의 값을 변경하는 것에 의해서 반도체 웨이퍼(W)상의 전자 밀도(Ne) 분포 특성을 제어할 수 있기 때문이며, Ne 분포 특성과 E/R 분포 특성의 사이에 상관 관계가 있기 때문이다.
일예로서, 상기 HARC의 에칭에서 제 2 직류 전압 VE의 값을 가변했을 때에 얻어진 Ne 분포 특성 및 Ne 변화율 분포 특성을 각각 도 8 및 도 9에 나타낸다. 도시한 바와 같이, 제 2 직류 전압 VE의 절대값을 0V→300V→600V→900V로 크게 하면, 웨이퍼 중심부의 Ne가 조금밖에 변화하지 않는데 웨이퍼 주변부의 Ne가 크게 상승 변화해 있어, E/R 분포 특성과 상관성이 있는 것을 확인할 수 있었다.
본 발명의 플라즈마 에칭 장치 및 플라즈마 에칭 방법은 기판 표면의 다층막을 복수의 스텝에서 연속적으로 에칭 가공하는 어플리케이션에 바람직하게 적용할 수 있다. 이하, 도 10a 내지 도 10d에 나타내는 바와 같은 다층 레지스트법에 관한 본 발명의 실시예에 대해 설명한다.
도 10a 내지 도 10d에 있어서, 가공 대상의 반도체 웨이퍼(W)의 주면에는 본래의 피가공막(예를 들면 게이트(gate)용의 Si막)(100)의 위에 최하층(최종 마스크)으로서 SiN층(102)이 형성되고, 그 위에 중간층으로서 유기막(예를 들면 카 본(carbon))(104)이 형성되고, 그 위에 Si함유의 반사 방지막(BARC(bottom antireflection coating))(106)을 거쳐서 최상층의 포토 레지스트(108)가 형성된다. SiN층(102), 유기막(104) 및 반사 방지막(106)의 성막에는 CVD(화학적 진공 증착법) 혹은 스핀 온(spin-on)에 의한 도포막이 이용되고, 포토 레지스트(photoresist)(108)의 패터닝(patterning)에는 포토리소그래피(photolithography)가 이용된다.
우선, 도 10a에 나타내는 바와 같이 패터닝된 포토 레지스트(108)를 마스크로 해서 Si함유 반사 방지막(106)을 에칭하였다. 주된 에칭 조건은 다음과 같다.
웨이퍼 구경: 300㎜
에칭 가스:CF4/O2=250/13sccm
챔버내의 압력: 30mTorr
고주파 전력: 40㎒/13㎒=400/0W
직류 전압:VC=0V, VE=0V, -300V, -600V(3가지)
도시는 생략하지만, 반사 방지막(106)의 에칭시에, 제 2 직류 전압 VE의 절대값을 변화시킴으로써, 에칭 레이트의 면내 분포 특성을 변화시킬 수 있다.
다음에, 도 10b에 나타내는 바와 같이 포토 레지스트(108) 및 반사 방지막(106)을 마스크로 해서 유기막(104)을 에칭 가공하였다. 주된 에칭 조건은 다음과 같다.
웨이퍼 구경: 300㎜
에칭 가스:O2=750sccm
챔버내의 압력: 20mTorr
온도: 상부 전극/챔버 측벽/하부 전극=150/150/30℃
고주파 전력: 40㎒/13㎒=400/200W
직류 전압:VC=0V, VE=0V, -300V, -600V(3가지)
도 11에, 상기 유기막(104)의 에칭 가공에서 얻어진 에칭 레이트(E/R)의 면내 분포 특성을 나타낸다. 도 12에, E/R의 변화율의 면내 분포 특성을 나타낸다.
도 11에 나타내는 바와 같이, 유기막 에칭에 있어서는 내측 상부 전극(60)에 인가하는 제 1 직류 전압 VC를 일정값(0V)으로 고정시키고, 외측 상부 전극(62)에 부극성(-)으로 인가하는 제 2 직류 전압 VE의 절대값을 변경하면, 웨이퍼 에지부의 E/R은 대략 일정하고, VE=0V인 경우에는 웨이퍼 중심부에서 크게 부풀어 오르는 높은 산(山)형의 프로파일로 되고, VE=-300V의 경우는 E/R이 웨이퍼 중심부에서 작게 부풀어 오르는 낮은 산형의 프로파일로 되고, VE=-600V인 경우에는 웨이퍼 중심부에서 크게 움푹 패이는 냄비바닥형의 프로파일이 된다. 따라서, VE=-600V∼-300V의 중간(-400V 부근)에서 대략 플랫(flat)의 E/R 프로파일이 얻어지는 것을 용이하게 추측할 수 있다.
이와 같이, 본 발명의 유기막 에칭에의 적용에 있어서, 제 2 직류 전압 VE의 절대값을 바꾸면, Si함유 절연막의 에칭과는 달리, 웨이퍼 주변부의 E/R이 거의 변하지 않고 웨이퍼 중심부의 E/R이 변화한다. 이 원리(작용)는 아직 명확하지는 않지만, 전자 밀도(Ne)의 분포 특성보다도 플라즈마(산소 플라즈마)와 상부 전극(60, 62)의 상호작용이 지배적으로 영향을 주는 것으로 고려된다.
또, 본 발명에 의한 유기막 에칭에 있어서는 제 1 직류 전압 VC는 -100V∼0V의 범위내에서 선정되어도 좋고, 제 2 직류 전압 VE는 -900V∼0V의 범위내에서 선정되어도 좋다. 또한, 패턴 가공 형상의 정밀도를 중시하는 경우에는 이온 인입의 에너지를 약간 낮게 하도록, 제 2 고주파의 주파수를 약간 높은 영역(바람직하게 10㎒∼13.56㎒)으로 선정해도 좋다. 에칭 가스로서 O2 가스에 N2 가스나 CO, COS, H2, NH3을 첨가해서 사용해도 좋다. 유기막 에칭에 있어서의 가스의 조합으로서, 다음과 같은 것을 들 수 있다 .
O2, O2/N2, O2/CO, O2/SO2, O2/COS, O2/NH3, N2/H2, NH3, N2/H2/O2
도 13에, 상기 반사 방지막(106) 및 유기막(104)의 에칭 가공에서 얻어진 패턴의 단면도(SEM 사진)를 나타낸다. 도시한 바와 같이, 제 2 직류 전압 VE의 절대값을 0V→400V→900V로 높게 해 가면, 패턴 상단부의 반사 방지막(106)의 어깨 붕괴(106')가 감소하여 패턴의 수직성이 향상하는 것을 알 수 있다. 이 효과는 밀한 패턴(좌측)보다도 소한 패턴(우측)에 있어서 현저하게 나타난다. 또한, 제 2 직류 전압 VE의 값을 바꾸면, 웨이퍼 반경 방향에서(중심부와 에지부의 사이에서) 패턴 CD의 정밀도 및 균일성이 변화하고, VE를 0V→400V→900V로 높게 해 가면 CD 정밀도 및 균일성이 향상하는 것도 알 수 있다.
다시 도 10a 내지 도 10d에 있어서, 도 10c 및 도 10d에 나타내는 바와 같이, 패터닝된 반사 방지막(106) 및 유기막(104)을 마스크로 해서 SiN막(102)을 에칭 하였다. 주된 에칭 조건은 다음과 같다.
웨이퍼 구경: 300㎜
에칭 가스: CHF3/CF4/Ar/O2=125/225/600/60sccm
챔버내의 압력: 75mTorr
온도: 상부 전극/챔버 측벽/하부 전극=150/150/30℃
고주파 전력: 40㎒/13㎒=0/1000W
직류 전압:VC=-300V, VE=0V, -300V, -900V(3가지)
도 14에, 상기 SiN막(102)의 에칭 가공에서 얻어진 에칭 레이트(E/R)의 면내 분포 특성을 나타낸다. 도시한 바와 같이, 제 1 직류 전압 VC를 일정값(-300V)으로 유지하고, 제 2 직류 전압 VE의 절대값을 0V→300V→900V로 올리면, 웨이퍼 중심부의 E/R은 그다지 변화하지 않고 웨이퍼 주변부의 E/R이 크게 상승 변화한다. 이 점은 상기 HARC나 BEOL의 경우와 동일하다.
다음에, 도 15에 나타내는 바와 같이, 제 2 직류 전압 VE의 절대값을 300V→900V로 바꾸면, 패턴의 CD 시프트(shift)가 웨이퍼 중심부보다도 웨이퍼 주변(에 지)부에서 크게 변화한다. 따라서, 제 2 직류 전압 VE의 값을 적절히 선택함으로써, 각 반도체 웨이퍼마다 CD의 균일성·정밀도를 향상시키고, 더 나아가서는 다층 레지스트법에 있어서의 패턴 전사 정밀도를 향상시킬 수 있다.
본 발명에 의한 SiN막의 에칭에 있어서는 제 1 직류 전압 VC는 -300V∼0V의 범위내에서 선정되어도 좋고, 제 2 직류 전압 VE는 -900V∼-300V의 범위내에서 선정되어도 좋다. 또한, SiN막의 에칭에 있어서도, 래디컬에 의한 고밀도의 패턴 에칭이 요구되기 때문에, 이온 인입의 에너지를 약간 낮게 하는 것이 바람직하고, 제 2 고주파의 주파수를 약간 높은 영역(바람직하게는 10㎒∼13.56㎒)으로 선정해도 좋다.
이상, 본 발명의 바람직한 1실시형태에 대해 설명했지만, 본 발명은 상기 실시형태에 한정되는 것은 결코 아니고, 각종 변형이 가능하다. 특히, 본 발명의 플라즈마 에칭 장치에 있어서는 내측 상부 전극(60) 및 외측 상부 전극(62) 주위의 구성에 대해 각종 선택·변형을 실행할 수 있다.
예를 들면, 내측 상부 전극(60) 및 외측 상부 전극(62)에 대해, 단일 또는 공통의 가변 직류 전원을 이용하여 독립된 제 1 및 제 2 직류 전압 VC, VE를 각각 인가하는 구성도 가능하다. 예를 들면, 도 16에 나타내는 구성예에서는 가변 직류 전원(110)의 출력 단자를, 필터 회로(112) 및 전환 스위치(114)를 거쳐서 외측 상부 전극(62)에 접속하는 동시에, 필터 회로(112), 전환 스위치(116) 및 가변 저항기(118)를 거쳐서 내측 상부 전극(60)에 접속하고 있다. 가변 직류 전원(110)으로 부터 출력되는 직류 전압 VA를 전압강하 없이 그대로 제 2 직류 전압 VE로서 외측 상부 전극(62)에 인가하는 동시에, 직류 전압 VA로부터 가변 저항기(118)의 전압강하분을 뺀 것을 제 1 직류 전압 VC로서 내측 상부 전극(60)에 인가하도록 하고 있다. 각 전환 스위치(116, 114)는 각 대응하는 상부 전극(60, 62)을 향해 가변 직류 전원(110)의 출력 전압을 통과시키기 위한 단자와, 각 대응하는 상부 전극(60, 62)을 그라운드 전위에 접속하기(즉 0V를 인가하기) 위한 단자의 사이에서 전환되도록 되어 있다.
또한, 도 16에 나타내는 구성예에서는 내측 상부 전극(60) 및 외측 상부 전극(62)의 쌍방에 샤워헤드를 마련하고 있다. 각각의 샤워헤드로부터 토출하는 가스의 종류 또는 유량을 독립적으로 선택· 제어하는 것도 가능하다.
또한, 본 발명은 상기 실시형태와 같은 하부 2주파 인가 방식에의 적용에 한정되는 것은 아니고, 예를 들면 상부 전극(60, 62)에 플라즈마 생성용의 제 1 고주파를 인가하는 방식의 플라즈마 에칭 장치에도 적용 가능하다.
또한, 본 발명은 플라즈마 에칭 장치에 한정되지 않고, 플라즈마 CVD, 플라즈마 산화, 플라즈마 질화, 스퍼터링 등의 다른 플라즈마 처리 장치에도 적용 가능하다. 또한, 본 발명에 있어서의 피처리 기판은 반도체 웨이퍼에 한정되는 것은 아니고, 플랫 패널 디스플레이(FPD)용의 각종 기판이나, 포토 마스크(photo mask), CD 기판, 프린트 기판 등도 가능하다.
도 1은 본 발명의 1실시형태에 있어서의 용량 결합형 플라즈마 에칭 장치의 구성을 나타내는 종단면도.
도 2는 실시형태에 있어서의 SiO2막 전면 에칭의 실험에서 얻어진 에칭 레이트(E/R)의 면내 분포 특성을 나타내는 도면.
도 3은 도 2의 에칭에 있어서 외측 상부 전극에 인가하는 제 2 직류 전압의 값을 바꾸었을 때의 E/R 변화율의 면내 분포 특성을 나타내는 도면.
도 4는 실시형태에 있어서의 SiO2막에 콘택트 홀을 형성하는 HARC 에칭의 실험에서 얻어지는 에칭 레이트(E/R)의 면내 분포 특성을 나타내는 도면.
도 5는 도 4의 에칭에 있어서 외측 상부 전극에 인가하는 제 2 직류 전압의 값을 바꾸었을 때의 E/R 변화율의 면내 분포 특성을 나타내는 도면.
도 6은 실시형태의 플라즈마 에칭 장치에 있어서 내측 상부 전극과 외측 상부 전극의 사이에 전극 갭 방향에서 단차를 마련하는 구성을 나타내는 도면.
도 7은 도 6의 전극 구조를 이용하는 HARC 에칭의 실험에서 얻어지는 에칭 레이트(E/R)의 면내 분포 특성을 나타내는 도면.
도 8은 실시형태에 있어서의 HARC 에칭의 실험에서 얻어진 전자 밀도(Ne)의 면내 분포 특성을 나타내는 도면.
도 9는 도 8의 에칭에 있어서 외측 상부 전극에 인가하는 제 2 직류 전압의 값을 바꾸었을 때의 Ne 변화율의 면내 분포 특성을 나타내는 도면.
도 10a 내지 도 10d는 실시형태에 있어서의 다층 레지스트법의 공정 수순을 나타내는 도면.
도 11은 도 10a 내지 도 10d의 다층 레지스트에 포함되는 유기막의 에칭에서 얻어진 에칭 레이트(E/R)의 면내 분포 특성을 나타내는 도면.
도 12는 도 8의 에칭에 있어서 외측 상부 전극에 인가하는 제 2 직류 전압의 값을 바꾸었을 때의 E/R 변화율의 면내 분포 특성을 나타내는 도면.
도 13은 도 10a 내지 도 10d의 다층 레지스트에 포함되는 반사 방지막 및 유기막의 에칭에서 얻어지는 패턴의 형상을 나타내는 단면도(SEM 사진).
도 14는 도 10a 내지 도 10d의 다층 레지스트에 포함되는 SiN막의 에칭에서 얻어진 에칭 레이트(E/R)의 면내 분포 특성을 나타내는 도면.
도 15는 도 8의 에칭에 있어서 외측 상부 전극에 인가하는 제 2 직류 전압의 값을 바꾸었을 때의 CD 시프트(shift)의 면내 분포 특성을 나타내는 도면.
도 16은 실시형태에 있어서의 용량 결합형 플라즈마 에칭 장치의 일변형예의 구성을 나타내는 종단면도.
부호의 설명
10: 챔버(처리용기)
12: 서셉터(하부 전극)
26: 배기 장치
30: 제 1 고주파 전원
32: 제 2 고주파 전원
60: 내측 상부 전극(샤워헤드)
62: 외측 상부 전극
76: 처리 가스 공급부
80, 82, 110: 가변 직류 전원
118: 가변 저항기

Claims (17)

  1. 진공배기 가능한 처리용기와,
    상기 처리용기내에서 피처리 기판을 탑재하는 하부 전극과,
    상기 처리용기내에서 상기 하부 전극의 정면에 배치되는 내측 상부 전극과,
    상기 처리용기내에서 상기 내측 상부 전극으로부터 전기적으로 절연해서 그 반경 방향 외측에 링형상으로 배치되는 외측 상부 전극과,
    상기 내측 및 외측 상부 전극과 상기 하부 전극의 사이의 처리공간에 처리 가스를 공급하는 처리 가스 공급부와,
    고주파 방전에 의해서 상기 처리 가스의 플라즈마를 생성하기 위한 제 1 고주파를 상기 하부 전극 혹은 상기 내측 및 외측 상부 전극에 인가하는 제 1 고주파 급전부와,
    상기 내측 상부 전극에 가변의 제 1 직류 전압을 인가하는 제 1 직류 급전부와,
    상기 외측 상부 전극에 가변의 제 2 직류 전압을 인가하는 제 2 직류 급전부를 갖되,
    상기 제 1 및 제 2 직류 전압이 모두 0V보다 크지 않고, 상기 제 2 직류 전압의 절대값이 상기 제 1 직류 전압의 절대값 이상인 것을 특징으로 하는
    플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 제 1 및 제 2 직류 급전부가 각각 독립된 직류 전원을 갖는 것을 특징으로 하는
    플라즈마 처리 장치.
  3. 제 1 항에 있어서,
    상기 제 1 및 제 2 직류 급전부가 공통의 직류 전원을 갖고, 상기 제 1 직류 급전부가 상기 직류 전원의 출력 단자와 상기 내측 상부 전극의 사이에 접속되는 전압 강하용의 가변 저항기를 갖는 것을 특징으로 하는
    플라즈마 처리 장치.
  4. 제 1 항에 있어서,
    상기 내측 상부 전극보다도 상기 외측 상부 전극을 상기 하부 전극측에 돌출시켜 배치하는 것을 특징으로 하는
    플라즈마 처리 장치.
  5. 제 1 항에 있어서,
    상기 기판에 플라즈마중의 이온을 인입하기 위한 제 2 고주파를 상기 하부 전극에 인가하는 제 2 고주파 급전부를 갖는 것을 특징으로 하는
    플라즈마 처리 장치.
  6. 제 1 항 내지 제 4 항 중의 어느 한 항에 기재된 플라즈마 처리 장치를 이용하여 Si를 함유하는 절연막을 에칭 가공하는 플라즈마 에칭 방법에 있어서,
    상기 제 1 및 제 2 직류 전압이 모두 0V보다 크지 않고, 상기 제 2 직류 전압의 절대값이 상기 제 1 직류 전압의 절대값 이상인 것을 특징으로 하는
    플라즈마 에칭 방법.
  7. 제 6 항에 있어서,
    상기 절연막이 SiO2막이고,
    상기 에칭 가공이 상기 SiO2막에 콘택트 홀을 형성하는 가공이며,
    상기 제 1 직류 전압이 -600V∼-150V로 선정되고,
    상기 제 2 직류 전압이 -1000V∼-150V로 선정되는 것을 특징으로 하는
    플라즈마 에칭 방법.
  8. 제 7 항에 있어서,
    상기 플라즈마 처리 장치가 상기 기판에 플라즈마중의 이온을 인입하기 위한 제 2 고주파를 상기 하부 전극에 인가하는 제 2 고주파 급전부를 갖고, 상기 제 2 고주파의 주파수가 2㎒∼3.2㎒로 선정되는 것을 특징으로 하는
    플라즈마 에칭 방법.
  9. 제 6 항에 있어서,
    상기 절연막이 SiOC막이고,
    상기 에칭 가공이 상기 SiOC막에 비어 홀을 형성하는 가공이며,
    상기 제 1 직류 전압이 -900V∼-300V로 선정되고,
    상기 제 2 직류 전압이 -1500V∼-300V로 선정되는 것을 특징으로 하는
    플라즈마 에칭 방법.
  10. 제 9 항에 있어서,
    상기 플라즈마 처리 장치가 상기 기판에 플라즈마중의 이온을 인입하기 위한 제 2 고주파를 상기 하부 전극에 인가하는 제 2 고주파 급전부를 갖고, 상기 제 2 고주파의 주파수가 10㎒∼13.56㎒로 선정되는 것을 특징으로 하는
    플라즈마 에칭 방법.
  11. 제 6 항에 있어서,
    상기 처리 가스가, 플로로카본 가스와 불활성 가스와 O2 가스 또는 N2 가스를 포함하는 에칭 가스인 것을 특징으로 하는
    플라즈마 에칭 방법.
  12. 제 6 항에 있어서,
    상기 절연막이 다층 레지스트의 중간층 또는 최하층에 이용되는 SiN막이고,
    상기 에칭 가공이 하지(下地)막 또는 하지 기판의 에칭을 위한 SiN 마스크를 형성하는 가공이고,
    상기 제 1 직류 전압이 -300V∼0V로 선정되고,
    상기 제 2 직류 전압이 -900V∼-300V로 선정되는 것을 특징으로 하는
    플라즈마 에칭 방법.
  13. 제 12 항에 있어서,
    상기 플라즈마 처리 장치가 상기 기판에 플라즈마중의 이온을 인입하기 위한 제 2 고주파를 상기 하부 전극에 인가하는 제 2 고주파 급전부를 갖고, 상기 제 2 고주파의 주파수가 10㎒∼13.56㎒로 선정되는 것을 특징으로 하는
    플라즈마 에칭 방법.
  14. 제 1 항 내지 제 4 항 중의 어느 한 항에 기재된 플라즈마 처리 장치를 이용하여 유기막을 에칭 가공하는 플라즈마 에칭 방법에 있어서,
    상기 제 1 및 제 2 직류 전압이 모두 0V보다 크지 않고, 상기 제 2 직류 전압의 절대값이 상기 제 1 직류 전압의 절대값 이상인 것을 특징으로 하는
    플라즈마 에칭 방법.
  15. 제 14 항에 있어서,
    상기 제 1 직류 전압이 -100V∼0V로 선정되고,
    상기 제 2 직류 전압이 -900V∼0V로 선정되는 것을 특징으로 하는
    플라즈마 에칭 방법.
  16. 제 15 항에 있어서,
    상기 플라즈마 처리 장치가 상기 기판에 플라즈마중의 이온을 인입하기 위한 제 2 고주파를 상기 하부 전극에 인가하는 제 2 고주파 급전부를 갖고, 상기 제 2 고주파의 주파수가 10㎒∼13.56㎒로 선정되는 것을 특징으로 하는
    플라즈마 에칭 방법.
  17. 제 14 항에 있어서,
    상기 처리 가스가 O2 가스 또는 N2 가스를 포함하는 에칭 가스인 것을 특징으로 하는
    플라즈마 에칭 방법.
KR1020090026118A 2008-03-27 2009-03-26 플라즈마 처리 장치 및 플라즈마 에칭 방법 KR101088969B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090026118A KR101088969B1 (ko) 2008-03-27 2009-03-26 플라즈마 처리 장치 및 플라즈마 에칭 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2008-083042 2008-03-27
KR1020090026118A KR101088969B1 (ko) 2008-03-27 2009-03-26 플라즈마 처리 장치 및 플라즈마 에칭 방법

Publications (2)

Publication Number Publication Date
KR20090103805A KR20090103805A (ko) 2009-10-01
KR101088969B1 true KR101088969B1 (ko) 2011-12-01

Family

ID=41533314

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090026118A KR101088969B1 (ko) 2008-03-27 2009-03-26 플라즈마 처리 장치 및 플라즈마 에칭 방법

Country Status (1)

Country Link
KR (1) KR101088969B1 (ko)

Also Published As

Publication number Publication date
KR20090103805A (ko) 2009-10-01

Similar Documents

Publication Publication Date Title
TWI469212B (zh) Plasma etching method
US11728139B2 (en) Process chamber for cyclic and selective material removal and etching
US10304668B2 (en) Localized process control using a plasma system
KR101123502B1 (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
US9502219B2 (en) Plasma processing method
KR101427505B1 (ko) 마스크 패턴의 형성 방법 및 반도체 장치의 제조 방법
TWI585834B (zh) A plasma processing method and a plasma processing apparatus
JP5502756B2 (ja) べベル端部エッチングを行うプラズマエッチング処理チャンバ、及び、そのエッチング方法
TWI408744B (zh) Plasma processing device and plasma processing method
JP2009239222A (ja) プラズマエッチング装置及びプラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
US20070227666A1 (en) Plasma processing apparatus
KR101858324B1 (ko) 플라즈마 에칭 방법
KR20080006457A (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
CN111261511B (zh) 等离子体处理装置和等离子体处理方法
KR20200062031A (ko) 에칭 방법 및 기판 처리 장치
KR101088969B1 (ko) 플라즈마 처리 장치 및 플라즈마 에칭 방법
JP5695117B2 (ja) プラズマエッチング方法
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer
US20230086580A1 (en) Etching method and plasma processing apparatus
US20230022359A1 (en) Methods, apparatus, and systems for maintaining film modulus within a predetermined modulus range
JP2002252213A (ja) プラズマエッチング方法
JP2023053351A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141103

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151102

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171030

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181119

Year of fee payment: 8