CN110544628A - 对膜进行蚀刻的方法和等离子体处理装置 - Google Patents

对膜进行蚀刻的方法和等离子体处理装置 Download PDF

Info

Publication number
CN110544628A
CN110544628A CN201910444639.1A CN201910444639A CN110544628A CN 110544628 A CN110544628 A CN 110544628A CN 201910444639 A CN201910444639 A CN 201910444639A CN 110544628 A CN110544628 A CN 110544628A
Authority
CN
China
Prior art keywords
film
gas
species
precursor
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910444639.1A
Other languages
English (en)
Inventor
胜沼隆幸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN110544628A publication Critical patent/CN110544628A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)

Abstract

本发明提供一种方法,其能够不封闭膜的开口,而面内均匀地形成保护侧壁面不受对膜进行蚀刻的化学种的影响的保护区域。在例示的实施方式的对膜进行蚀刻的方法中,在膜上形成前体的单分子层。膜具有用于形成开口的侧壁面和底面。利用化学种对膜进行蚀刻,该化学种来自从处理气体形成的等离子体。在对膜进行蚀刻期间,利用来自等离子体的化学种或来自等离子体的其他化学种,从单分子层形成保护区域。

Description

对膜进行蚀刻的方法和等离子体处理装置
技术领域
本发明例示的实施方式涉及对膜进行蚀刻的方法和等离子体处理装置。
背景技术
在电子器件的制造中,对膜进行等离子体蚀刻。在等离子体蚀刻中,在腔室内从气体生成等离子体。配置于腔室内的基片被来自等离子体的化学种蚀刻。
在等离子体蚀刻中,为了抑制形成于膜的开口在横向(即,与膜厚方向正交的方向)扩大,有时在用于形成开口的膜的侧壁面上形成保护区域。包括形成保护区域的工序和对膜进行蚀刻的工序的技术,例如记载在专利文献1~3中。
在专利文献1~3中记载的技术中,对有机膜进行蚀刻。在专利文献1和2记载的技术中,通过溅射在侧壁面上形成含有硅的保护区域。保护区域保护有机膜不受对有机膜进行蚀刻的氧化学种的影响。在专利文献3记载的技术中,通过使用了含硫化羰、氧和氯的气体的等离子体蚀刻,而有机膜被蚀刻。当生成等离子体时,生成硫化学种和氯化硅的化学种。硫化学种和氯化硅的化学种在侧壁面上形成保护区域。在专利文献3记载的技术中,与有机膜的蚀刻同时形成保护区域。
现有技术文献
专利文献
专利文献1:日本特开2012-204668号公报
专利文献2:日本特开2009-049141号公报
专利文献3:日本特开2015-012178号公报
发明内容
发明要解决的技术问题
要求不封闭膜的开口而面内均匀地形成保护区域,该保护区域用于保护侧壁面不受对膜进行蚀刻的化学种的影响。另外,要求保护区域能够形成在用于形成具有高宽高比的开口的侧壁面上。
用于解决技术问题的技术方案
依照一个例示的实施方式,提供一种对膜进行蚀刻的方法。膜是有机膜。有机膜具有用于形成开口的侧壁面和底面。方法包括:(i)在有机膜上形成前体的单分子层的工序;和(ii)利用氧化学种对有机膜进行蚀刻的工序,上述氧化学种来自从含氧气体形成的等离子体。在对有机膜进行蚀刻的工序中,利用来自等离子体的氧化学种氧化单分子层来形成保护区域。
发明效果
依照一个例示的实施方式的对膜进行蚀刻的方法,能够不封闭膜的开口而面内均匀地形成保护区域,该保护区域用于保护侧壁面不受对膜进行蚀刻的化学种的影响。此外,保护区域能够形成在用于形成具有高宽高比的开口的侧壁面上。
附图说明
图1是表示一个例示的实施方式的对膜进行蚀刻的方法的流程图。
图2是基片的一例的局部放大截面图。
图3是概略地表示一个例示的实施方式的等离子体处理装置的图。
图4的(a)是用于说明图1所示的方法的工序STa的例子的图,图4的(b)是执行工序STa后的状态的一例的基片的局部放大截面图。
图5是图1所示的方法的工序ST1执行后的状态下基片的一例的局部放大截面图。
图6的(a)是用于说明图1所示的方法的工序ST3的例子的图,图6的(b)是执行工序ST3后的状态下的一例的基片的局部放大截面图。
图7是执行图1所示的方法后的状态下的一例的基片的局部放大截面图。
附图标记说明
MT……对膜进行蚀刻的方法
EF……膜
OP……开口
SS……侧壁面
BS……底面
ML……单分子层
PR……保护区域
PL3……等离子体。
具体实施方式
以下,对各种例示的实施方式进行说明。
在一个例示的实施方式中,提供一种对膜进行蚀刻的方法。膜是有机膜。有机膜具有用于形成开口的侧壁面和底面。方法包括:(i)在有机膜上形成前体的单分子层的工序;和(ii)利用氧化学种对有机膜进行蚀刻的工序,其中上述氧化学种来自从含氧气体形成的等离子体。在对有机膜进行蚀刻的工序中,利用来自等离子体的氧化学种氧化单分子层来形成保护区域。
在一个例示的实施方式的方法中,保护区域从单分子层形成。因此,能够抑制保护区域封闭有机膜的开口的情况。另外,保护区域能够面内均匀地形成。另外,保护区域也能够形成在用于形成具有高宽高比的开口的侧壁面上。在该方法中,利用氧化学种氧化单分子层来形成保护区域,上述氧化学种来自为蚀刻有机膜而生成的等离子体。因此,用于使单分子层变为保护区域的处理与有机膜的蚀刻同时进行。
在一个例示的实施方式的方法中,可以为前体含有硅。在一个例示的实施方式的方法中,可以为前体含有金属。可以为金属是钨或钛。
在一个例示的实施方式的方法中,可以为还包括在有机膜上形成上述开口的工序。
在一个例示的实施方式的方法中,可以交替地反复形成单分子层的工序和对有机膜进行蚀刻的工序。
在一个例示的实施方式的方法中,可以为在一个等离子体处理装置的腔室内连续地维持减压的空间内,不从该腔室取出具有有机膜的基片,而执行形成单分子层的工序和对有机膜进行蚀刻的工序。
在一个例示的实施方式的方法中,可以为等离子体处理装置是电容耦合型等离子体处理装置。该等离子体处理装置可包括:腔室、支承台、气体供给部、第一高频电源和第二高频电源。支承台包括下部电极,构成为能够在腔室内支承基片。气体供给部构成为能够将含有前体的前体气体和含氧气体供给到腔室内。上部电极设置于支承台的上方。第一高频电源构成为能够将用于生成等离子体的第一高频电力供给到上部电极。第二高频电源构成为能够将用于对基片引入离子的第二高频电力供给到下部电极。
另外,在又一例示的实施方式中,提供一种对膜进行蚀刻的方法。膜具有用于形成开口的侧壁面和底面。方法包括:(i)在膜上形成前体的单分子层的工序;和(ii)利用化学种对膜进行蚀刻的工序,其中上述化学种来自从处理气体形成的等离子体。在对膜进行蚀刻的工序中,利用来自等离子体的化学种或来自该等离子体的其他化学种,从单分子层形成保护区域。
在一个例示的实施方式的方法中,保护区域从单分子层形成。因此,能够抑制保护区域封闭膜的开口的情况。另外,保护区域能够面内均匀地形成。另外,保护区域也能够形成在用于形成具有高宽高比的开口的侧壁面上。在该方法中,来自为蚀刻膜而生成的等离子体的化学种或来自该等离子体的其他化学种使单分子层变质,其结果形成保护区域。因此,用于使单分子层变为保护区域的处理与有机膜的蚀刻同时进行。
在一个例示的实施方式中,可以为膜是含有硅、碳、氧和氢的低介电常数膜。可以为前体含有硅。可以为对膜进行蚀刻的化学种包含氟化学种和氮化学种。在该实施方式的方法中,利用氮化学种将前体氮化。
在一个例示的实施方式中,可以为膜是含有硅、碳、氧和氢的低介电常数膜。可以为前体含有金属。可以为对膜进行蚀刻的化学种包含氟化学种和氮化学种。在该实施方式的方法中,可以为其他化学种包含氧化学种。在该实施方式的方法中,利用氧化学种将前体氮化。可以为金属是钨或钛。
在一个例示的实施方式中,可以为膜是多晶硅膜。可以为前体含有硅或金属。可以为对膜进行蚀刻的化学种包含卤素化学种。可以为其他化学种包含氧化学种。在该实施方式的方法中,利用氧化学种将前体氮化。可以为金属是钨或钛。
在一个例示的实施方式中,可以为膜是氧化硅膜。可以为前体含有硅或金属。可以为对膜进行蚀刻的化学种包含从处理气体中的氢氟烃形成的化学种。可以为其他化学种包含氧化学种。在该实施方式的方法中,利用氧化学种将前体氮化。可以为金属是钨或钛。
另外,在又一例示的实施方式中,提供一种等离子体处理装置。等离子体处理装置包括:腔室、支承台、气体供给部、等离子体生成部和控制部。支承台构成为能够在腔室内支承基片。气体供给部构成为能够将含有前体的前体气体和处理气体供给到腔室内。等离子体生成部构成为能够生成处理气体的等离子体。控制部构成为:为了在支承于支承台上的基片的膜上形成前体的单分子层,对气体供给部进行控制,以使得将前体气体供给到腔室内。此外,控制部构成为:为了对膜进行蚀刻并且使单分子层变质来形成保护区域,对气体供给部和等离子体生成部进行控制,以使得将处理气体供给到腔室内并且生成处理气体的等离子体。
下面,参照附图,详细地说明各种例示的实施方式。此外,在各附图中,对相同或者相当的部分标注相同的附图标记。
图1是表示一个例示的实施方式的对膜进行蚀刻的方法的流程图。图1所示的方法MT是为了蚀刻基片的膜而执行的。图2是基片的一例的局部放大截面图。图2所示的基片W具有膜EF。基片W可以具有基底区域UR和掩模MK。
膜EF设置于基底区域UR上。掩模MK设置于膜EF上。在掩模MK形成了图案。即,掩模MK提供一个以上的开口。膜EF从掩模MK的开口局部地露出。膜EF可由任意的材料形成。掩模MK只要在后述的工序ST3中能够相对于掩模MK有选择地对膜EF进行蚀刻,就能够由任意的材料形成。
在基片W的第一例中,膜EF为有机膜。在基片W的第一例中,掩模MK为含硅膜。含硅膜是例如含有硅的防反射膜。
在基片W的第二例中,膜EF为低介电常数膜,包含硅、碳、氧和氢。即,在基片W的第二例中,膜EF为SiCOH膜。在基片W的第二例中,掩模MK由含钨膜、含钛膜等含金属膜形成。在基片W的第二例中,掩模MK可以由光致抗蚀剂膜等有机膜、氧化硅膜或多晶硅(polysilicon)膜形成。
在基片W的第三例中,膜EF为多晶硅膜。在基片W的第三例中,掩模MK由含钨膜等含金属膜形成。在基片W的第三例中,掩模MK可以由光致抗蚀剂膜等有机膜或氮化硅膜或形成。
在基片W的第四例中,膜EF为氧化硅膜。在基片W的第四例中,掩模MK由含钨膜、含钛膜等含金属膜形成。在基片W的第四例中,掩模MK可以由光致抗蚀剂膜等有机膜或多晶硅(poly silicon)膜形成。
如图1所示,方法MT包括工序ST1和工序ST3。在一个实施方式中,使用等离子体处理装置执行方法MT。图3是概略地表示一个例示的实施方式的等离子体处理装置的图。图3所示的等离子体处理装置1是电容耦合型的等离子体处理装置。
等离子体处理装置1具有腔室10。腔室10在其内部提供内部空间10s。腔室10包括腔室主体12。腔室主体12具有大致圆筒形状。内部空间10s处于腔室主体12的内侧。腔室主体12例如由铝形成。在腔室主体12的内壁面上设置有具有耐腐蚀性的膜。具有耐腐蚀性的膜可以为由氧化铝、氧化钇等陶瓷形成的膜。
在腔室主体12的侧壁形成有通路12p。在内部空间10s与腔室10的外部之间输送基片W时,基片W通过通路12p。通路12p能够利用闸阀12g进行开闭。闸阀12g沿腔室主体12的侧壁设置。
在腔室主体12的底部上设置有支承部13。支承部13由绝缘材料形成。支承部13具有大致圆筒形状。支承部13在内部空间10s中从腔室主体12的底部向上方延伸。支承部13支承支承台14。支承台14设置于内部空间10s之中。支承台14构成为能够在腔室10内即内部空间10s之中支承基片W。
支承台14具有下部电极18和静电吸盘20。支承台14还可以具有电极板16。电极板16由例如铝等导体形成,具有大致圆盘形状。下部电极18设置于电极板16上。下部电极18由例如铝等导体形成,具有大致圆盘形状。下部电极18与电极板16电连接。
静电吸盘20设置于下部电极18上。在静电吸盘20的上表面上载置基片W。静电吸盘20具有主体和电极。静电吸盘20的主体具有大致圆盘形状,由电介质形成。静电吸盘20的电极是膜状的电极,设置于静电吸盘20的主体内。静电吸盘20的电极经由开关20s与直流电源20p连接。当对静电吸盘20的电极施加来自直流电源20p的电压时,在静电吸盘20与基片W之间产生静电引力。基片W因产生的静电引力被吸附到静电吸盘20,由静电吸盘20保持。
在下部电极18的周缘部上以包围基片W的边缘的方式配置有聚焦环FR。聚焦环FR是为了提高对基片W的等离子体处理的面内均匀性而设置的。聚焦环FR并没有限定,可以由硅、碳化硅或石英形成。
在下部电极18的内部设置有流路18f。将热交换介质(例如制冷剂)从设置于腔室10的外部的冷却单元22经由配管22a供给到流路18f。供给到流路18f的热交换介质经由配管22b回到冷却单元22。等离子体处理装置1中,通过热交换介质与下部电极18的热交换,来调节载置于静电吸盘20上的基片W的温度。
在等离子体处理装置1设置有气体供给线路24。气体供给线路24将来自导热气体供给机构的导热气体(例如He气体)供给到静电吸盘20的上表面与基片W的背面之间。
等离子体处理装置1还包括上部电极30。上部电极30设置于支承台14的上方。上部电极30经由部件32支承于腔室主体12的上部。部件32由具有绝缘性的材料形成。上部电极30和部件32封闭腔室主体12的上部开口。
该上部电极30可以包括顶板34和支承体36。顶板34的下表面是内部空间10s侧的下表面,用于形成内部空间10s。顶板34可以由焦耳热少的低电阻的导电体或半导体形成。在该顶板34形成有多个气体排出孔34a。多个气体排出孔34a在板厚方向上贯通顶板34。
支承体36以顶板34可拆装的方式支承顶板34。支承体36可以由铝等导电性材料形成。在支承体36的内部设置有气体扩散室36a。在支承体36形成有多个气体孔36b。多个气体孔36b从气体扩散室36a在下方延伸。多个气体孔36b分别与多个气体排出孔34a连通。在支承体36形成有气体导入口36c。气体导入口36c与气体扩散室36a连接。在气体导入口36c连接有气体供给管38。
气体供给管38经由阀组41、流量控制器组42及阀组43与气体源组40连接。气体源组40、阀组41、流量控制器组42和阀组43构成气体供给部GS。气体源组40包含多个气体源。气体源组40的多个气体源包括在方法MT中使用的多个气体源。阀组41和阀组43分别包括多个开闭阀。流量控制器组42包括多个流量控制器。流量控制器组42的多个流量控制器分别是质量流量控制器或压力控制式的流量控制器。气体源组40的多个气体源各自经由阀组41的对应开闭阀、流量控制器组42的对应流量控制器和阀组43的对应开闭阀与气体供给管38连接。
在等离子体处理装置1中,沿腔室主体12的内壁面可拆装地设置有屏蔽件(shield)46。屏蔽件46也设置于支承部13的外周。屏蔽件46防止蚀刻的副产物附着到腔室主体12。屏蔽件46通过例如在由铝形成的母材表面形成具有耐腐蚀性的膜而形成。具有耐腐蚀性的膜,可以为由氧化钇等陶瓷形成的膜。
在支承部13与腔室主体12的侧壁之间设置有挡板48。挡板48通过例如在由铝形成的母材表面形成具有耐腐蚀性的膜而形成。具有耐腐蚀性的膜,可以为由氧化钇等陶瓷形成的膜。在挡板48上形成有多个贯通孔。在该挡板48的下方且腔室主体12的底部处设置有排气口12e。排气口12e经由排气管52与排气装置50连接。排气装置50具有压力调节阀和涡轮分子泵等真空泵。
等离子体处理装置1还包括第一高频电源62和第二高频电源64。第一高频电源62是产生第一高频电力的电源。第一高频电力具有适合生成等离子体的频率。第一高频电力的频率例如为27MHz~100MHz范围内的频率。第一高频电源62经由匹配器66及电极板16与上部电极30连接。匹配器66具有用于使第一高频电源62的输出阻抗是负载侧(上部电极30侧)的阻抗相匹配的电路。此外,也可以为第一高频电源62经由匹配器66与下部电极18连接。第一高频电源62构成一例的等离子体生成部。
第二高频电源64是产生第二高频电力的电源。第二高频电力具有比第一高频电力的频率低的频率。当一起使用第一高频电力和第二高频电力时,第二高频电力被用作用于将离子引入到基片W的偏置用的高频电力。第二高频电力的频率例如为400kHz~13.56MHz范围内的频率。第二高频电源64经由匹配器68和电极板16与下部电极18连接。匹配器68具有用于使第二高频电源64的输出阻抗与负载侧(下部电极18侧)的阻抗相匹配的电路。
另外,也可以不使用第一高频电力而使用第二高频电力,即仅使用一个高频电力来生成等离子体。在这种情况下,第二高频电力的频率可以为比13.56MHz大的频率,例如40MHz。在这种情况下,等离子体处理装置1也可以不具有第一高频电源62和匹配器66。在这种情况下,第二高频电源64构成一例的等离子体生成部。
在等离子体处理装置1中生成等离子体的情况下,气体从气体供给部GS被供给到内部空间10s。此外,通过供给第一高频电力和/或第二高频电力,在上部电极30与下部电极18之间生成高频电场。利用所生成的高频电场激励气体。其结果,生成等离子体。
等离子体处理装置1还包括控制部80。控制部80可以是包括处理器、存储器等存储部、输入装置、显示装置、信号的输入输出接口等的计算机。控制部80控制等离子体处理装置1的各部。控制部80中,操作员能够用输入装置进行用于管理等离子体处理装置1的指令的输入操作等。此外,控制部80中,能够利用显示装置来可视化显示等离子体处理装置1的工作状况。而且,在控制部80的存储部保存有控制程序和方案数据。为了在等离子体处理装置1中执行各种处理,由控制部80的处理器执行控制程序。控制部80的处理器执行控制程序,按照方案数据来控制等离子体处理装置1的各部,由此在等离子体处理装置1中执行方法MT。
再次参照图1,详细地说明方法MT。在以下的说明中,以使用等离子体处理装置1对图2所示的基片W进行处理的情况为例,对方法MT进行说明。此外,方法MT也可以使用其他等离子体处理装置。方法MT也可以对其他基片进行处理。
在基片W载置于支承台14上的状态下执行方法MT。在一实施方式中,方法MT在工序STa开始。在工序STa中,通过等离子体蚀刻来对膜EF进行蚀刻。
在工序STa中,在腔室10内从处理气体生成等离子体PLa。在上述的基片W的第一例被处理的情况下,即在基片W的膜EF为有机膜的情况下,在工序STa中使用的处理气体可以包含含氧气体。含氧气体包含例如氧气、一氧化碳气体或二氧化碳气体。或者,在基片W的第一例被处理的情况下,在工序STa中使用的处理气体也可以包含氮气和/或氢气。
在上述的基片W的第二例被处理的情况下,即在基片W的膜EF为低介电常数膜的情况下,在工序STa中使用的处理气体可以包含含氟气体。含氟气体为例如碳氟化合物(fluorocarbon)气体。碳氟化合物气体例如为C4F8气体。
在上述的基片W的第三例被处理的情况下,即在基片W的膜EF为多晶硅膜的情况下,在工序STa中使用的处理气体可以包含含卤素气体。含卤素气体例如为HBr气体、Cl2气体或SF6气体。
在上述的基片W的第四例被处理的情况下,即在基片W的膜EF为氧化硅膜的情况下,在工序STa中使用的处理气体可以包含氢氟烃(hydrofluorocarbon)气体。氢氟烃气体例如为CH3F气体。
在工序STa中,如图4的(a)所示,对膜EF照射来自等离子体PLa的化学种,利用该化学种对膜EF进行蚀刻。在工序STa中,膜EF被蚀刻至膜EF的下表面与膜EF的上表面之间的位置。膜EF的下表面为与基底区域UR接触的膜EF的面。膜EF的上表面为从掩模MK的开口露出的膜EF的表面。当执行工序STa时,如图4的(b)所示,在膜EF形成开口OP。开口OP由膜EF的侧壁面SS和底面BS形成。
在工序STa中,控制部80控制排气装置50以使得将内部空间10s中的压力设定为指定的压力。在工序STa中,控制部80控制气体供给部GS以使得对内部空间10s供给处理气体。在工序STa中,控制部80为了从处理气体生成等离子体而控制等离子体生成部。在一实施方式的工序STa中,控制部80控制第一高频电源62和/或第二高频电源64以使得供给第一高频电力和/或第二高频电力。
工序STa的等离子体蚀刻可以为与后述的工序ST3的等离子体蚀刻同样的等离子体蚀刻。关于该情况下的工序STa的等离子体蚀刻的详情和工序STa中由控制部80进行的控制,可参照工序ST3的说明。
此外,方法MT也可以不包含工序STa。在这种情况下,在应用方法MT的基片的膜EF上预先设置开口OP。
在方法MT中,执行工序ST1。在工序ST1中,如图5所示,在基片W上形成前体的单分子层ML。单分子层ML形成在侧壁面SS和底面BS上。单分子层ML也形成在掩模MK上。构成单分子层ML的前体,在后述的执行工序ST3的期间变质而形成保护区域PR,并且,只要保护区域PR能够保护侧壁面SS不受对膜EF进行蚀刻的活性种的影响,就可以是任意的前体。
在工序ST1中,包含前体的前体气体被供给到具有开口OP的基片W。在一个实施方式中,前体气体被供给到内部空间10s。在工序ST1中,可以与前体气体一起供给载体气体。载体气体可以为非活性气体。非活性气体例如为稀有气体或氮气。在执行工序ST1的期间,不在腔室10内生成等离子体。
在上述的基片W的第一例~第四例的任一例被处理的情况下,在工序ST1中使用的前体气体都是含硅气体或含金属气体。含硅气体包含作为前体的含硅物质。含硅气体例如为氨基硅烷气体。含金属气体包含作为前体的含金属物质。含金属物质例如包含钨或钛。含金属气体例如为含钨气体或含钛气体。含钨气体可以为六氟化钨气体、六氯化钨气体等卤化钨气体。含钛气体可以为四氟化钛气体、四氯化钛气体等卤化钛气体。
在工序ST1中,控制部80控制排气装置50以使得将内部空间10s中的压力设定为指定的压力。在工序ST1中,控制部80控制气体供给部GS以使得对内部空间10s供给前体气体。在工序ST1中,可以与前体气体一起供给载体气体。载体气体为非活性气体。非活性气体例如为稀有气体或氮气。
在方法MT中,可以在工序ST1与工序ST3之间执行工序ST2。在工序ST2中,执行内部空间10s的吹扫(purge)。在工序ST2中,控制部80控制排气装置50以使得执行内部空间10s的排气。在工序ST2中,也可以为控制部80控制气体供给部GS以使得对内部空间10s供给非活性气体。通过执行工序ST2,内部空间10s中的前体气体被置换为非活性气体。通过执行工序ST2,也可以除去吸附在基片W上的过剩的前体。在这种情况下,工序ST1的前体气体的供给和工序ST2的吹扫的结果是形成单分子层ML。
在工序ST3中,通过等离子体蚀刻来对膜EF进行蚀刻。在工序ST3中,在腔室10内从处理气体生成等离子体PL3。在工序ST3中,如图6的(a)所示,对膜EF照射来自处理气体的等离子体的活性种,如图6的(b)所示对膜EF进行蚀刻。在工序ST3中,对膜EF进行蚀刻的化学种或来自等离子体PL3的其他化学种与单分子层ML中的前体发生反应,从单分子层ML形成保护区域PR。
在上述的基片W的第一例被处理的情况下,即在基片W的膜EF为有机膜的情况下,在工序ST3中使用的处理气体可以包含含氧气体。含氧气体包含例如氧气、一氧化碳气体或二氧化碳气体。在工序ST3中,处理气体可以还包含硫化羰气体。在基片W的第一例被处理的情况下,利用来自等离子体PL3的氧化学种对膜EF进行蚀刻。另外,通过来自等离子体PL3的氧化学种与单分子层ML中的前体的反应,形成保护区域PR。在单分子层ML中的前体是含硅物质的情况下,保护区域PR由氧化硅形成。在单分子层ML中的前体是含金属物质的情况下,保护区域PR由金属氧化物(例如氧化钨或氧化钛)形成。
在上述的基片W的第二例被处理的情况下,即在基片W的膜EF为低介电常数膜的情况下,在工序ST3中使用的处理气体含有氟和氮。例如,处理气体包含碳氟化合物气体和含氮气体。碳氟化合物气体例如为C4F8气体。含氮气体例如为氮气(N2气体)。处理气体也可以还包含稀有气体(例如Ar气体)和氧气等(O2气体)。在基片W的第二例被处理的情况下,利用来自等离子体PL3的氟化学种和氮化学种对膜EF进行蚀刻。在单分子层ML中的前体是含硅物质的情况下,在工序ST3中,通过来自等离子体PL3的氮化学种与单分子层ML中的含硅物质的反应而形成保护区域PR。在这种情况下,保护区域PR由氧化硅形成。在单分子层ML中的前体是含金属物质的情况下,在工序ST3中,通过来自等离子体PL3的氧化学种与单分子层ML中的含金属物质的反应而形成保护区域PR。在这种情况下,保护区域PR由金属氧化物(例如氧化钨或氧化钛)形成。另外,来自等离子体PL3的氧化学种在工序ST3中使形成在基片W上的含碳沉积物的量减少。
在上述的基片W的第三例被处理的情况下,即在基片W的膜EF为多晶硅膜的情况下,在工序ST3中使用的处理气体可以包含含卤素气体和含氧气体。含卤素气体为HBr气体、Cl2气体或SF6气体。含氧气体可以包含例如氧气、一氧化碳气体或二氧化碳气体。在基片W的第三例被处理的情况下,利用来自等离子体PL3的卤素化学种对膜EF进行蚀刻。另外,通过来自等离子体PL3的氧化学种与单分子层ML中的前体的反应而形成保护区域PR。在单分子层ML中的前体是含硅物质的情况下,保护区域PR由氧化硅形成。在单分子层ML中的前体是含金属物质的情况下,保护区域PR由金属氧化物(例如氧化钨或氧化钛)形成。
在上述的基片W的第四例被处理的情况下,即在基片W的膜EF为氧化硅膜的情况下,在工序ST3中使用的处理气体可以包含氢氟烃气体和含氧气体。氢氟烃气体例如为CH3F气体。含氧气体可以包含例如氧气、一氧化碳气体或二氧化碳气体。在工序ST3中使用的处理气体可以还含有稀有气体(例如Ar气体)。在基片W的第四例被处理的情况下,利用从处理气体中的氢氟烃气体形成的化学种对膜EF进行蚀刻。此外,通过来自等离子体PL3的氧化学种与单分子层ML中的前体的反应而形成保护区域PR。在单分子层ML中的前体是含硅物质的情况下,保护区域PR由氧化硅形成。在单分子层ML中的前体是含金属物质的情况下,保护区域PR由金属氧化物(例如氧化钨或氧化钛)形成。此外,来自等离子体PL3的氧化学种在工序ST3中使形成在基片W上的含碳沉积物的量减少。
在工序ST3中,控制部80控制排气装置50以使得将内部空间10s中的压力设定为指定的压力。在工序ST3中,控制部80控制气体供给部GS以使得对内部空间10s供给处理气体。在工序ST3中,控制部80控制等离子体生成部以使得从处理气体生成等离子体。在一个实施方式的工序ST3中,控制部80控制第一高频电源62和/或第二高频电源64以使得供给第一高频电力和/或第二高频电力。
在方法MT中,也可以在执行工序ST3之后执行工序ST4。在工序ST4中,执行内部空间10s的吹扫(purge)。工序ST4是与工序ST2相同的工序。
在接下来的工序ST5中,判断是否满足停止条件。在交替地反复工序ST1和工序ST3的次数达到了规定次数的情况下,判断为满足停止条件。在工序ST5中,当判断为不满足停止条件时,再次执行从工序ST1起的处理。交替地反复工序ST1和工序ST3的结果是,开口OP的深度增大。例如如图7所示,开口OP形成为到达基底区域UR的表面。在工序ST5中,当判断为满足停止条件时,结束方法MT。其中,包含工序ST1和工序ST3的流程可以仅执行一次。在这种情况下,不需要执行工序ST5。
在方法MT中,在膜EF的蚀刻期间(即,执行工序ST3的期间),利用保护区域PR保护侧壁面SS。因此,能够抑制侧壁面SS被对膜EF进行蚀刻的化学种蚀刻而开口OP在横向(即,与膜EF的膜厚方向正交的方向)扩大的情况。另外,在执行工序ST3的期间,关于单分子层ML的整个区域中在膜EF上延伸的区域和在掩模MK的上表面上延伸的区域,去往这些区域的离子流较大,因此被蚀刻。
如上所述,在方法MT中,保护区域PR从单分子层ML形成。因此,能够抑制保护区域PR封闭膜EF的开口的情况。另外,保护区域PR能够面内均匀地形成。另外,保护区域PR也能够形成在用于形成具有高宽高比的开口OP的侧壁面上。在方法MT中,为了蚀刻膜EF的蚀刻而来自生成的等离子体PL3的化学种或来自等离子体PL3的其他化学种使单分子层变质,其结果是形成保护区域PR。因此,用于使单分子层ML变为保护区域PR的处理与膜EF的蚀刻同时进行。
在一实施方式中,如上所述,可以在内部空间10s中不从腔室10取出基片W就执行工序ST1和工序ST3。即,可以在一个等离子体处理装置的腔室内连续地维持减压的空间内,不从腔室取出基片W而执行方法MT。
以上,对各种例示的实施方式进行了说明,但是不限于上述例示的实施方式,可以进行各种省略、置换和变更。另外,能够适当组合不同的实施方式的要素来形成其他实施方式。
例如,在执行工序ST1中所用的装置和在执行工序ST3中所用的等离子体处理装置可以为不同的装置。在执行工序STa中所用的等离子体装置也可以与在执行工序ST1中所用的装置及在执行工序ST3中所用的等离子体处理装置不同。
另外,在执行方法MT中所用的等离子体处理装置可以为任意类型的等离子体处理装置。例如,在执行方法MT中所用的等离子体处理装置可以为电感耦合型的等离子体处理装置或者将微波等表面波用于生成等离子体的等离子体处理装置。
依照以上的说明,在本说明书中以说明的目的对本发明的各种实施方式进行了说明,应当理解能够不脱离本发明的范围和主旨地进行各种变更。因此,本说明书中公开的各种实施方式并没有限定的意图,真正的范围和主旨如所附的专利权利要求书所示。

Claims (17)

1.一种对膜进行蚀刻的方法,其特征在于:
所述膜为具有用于形成开口的侧壁面和底面的有机膜,
该方法包括:
在所述有机膜上形成前体的单分子层的工序;和
利用氧化学种对所述有机膜进行蚀刻的工序,其中所述氧化学种来自从含氧气体形成的等离子体,
在对所述有机膜进行蚀刻的所述工序中,利用来自所述等离子体的所述氧化学种氧化所述单分子层来形成保护区域。
2.如权利要求1所述的方法,其特征在于:
所述前体含有硅。
3.如权利要求1所述的方法,其特征在于:
所述前体含有金属。
4.如权利要求3所述的方法,其特征在于:
所述金属是钨或钛。
5.如权利要求1~3中任一项所述的方法,其特征在于:
还包括形成所述开口的工序。
6.如权利要求1~5中任一项所述的方法,其特征在于:
交替地反复所述形成单分子层的工序和所述对所述有机膜进行蚀刻的工序。
7.如权利要求1~6中任一项所述的方法,其特征在于:
在一个等离子体处理装置的腔室内连续地维持减压的空间内,不从该腔室取出具有所述有机膜的基片,而执行所述形成单分子层的工序和所述对所述有机膜进行蚀刻的工序。
8.如权利要求7所述的方法,其特征在于:
所述等离子体处理装置是电容耦合型等离子体处理装置,其包括:
所述腔室;
支承台,其包括下部电极,构成为能够在所述腔室内支承所述基片;
气体供给部,其构成为能够将包含所述前体的前体气体和所述含氧气体供给到所述腔室内;
设置于所述支承台的上方的上部电极;
第一高频电源,其构成为能够将用于生成等离子体的第一高频电力供给到所述上部电极;和
第二高频电源,其构成为能够将用于对基片引入离子的第二高频电力供给到所述下部电极。
9.一种对膜进行蚀刻的方法,其特征在于:
所述膜具有用于形成开口的侧壁面和底面,
所述方法包括:
在所述膜上形成前体的单分子层的工序;和
利用化学种对所述膜进行蚀刻的工序,其中所述化学种来自从处理气体形成的等离子体,
在所述对所述膜进行蚀刻的工序中,利用来自所述等离子体的所述化学种或来自所述等离子体的其他化学种,从所述单分子层形成保护区域。
10.如权利要求9所述的方法,其特征在于:
所述膜是含有硅、碳、氧和氢的低介电常数膜,
所述前体含有硅,
对所述膜进行蚀刻的所述化学种包含氟化学种和氮化学种,
利用所述氮化学种将所述前体氮化。
11.如权利要求9所述的方法,其特征在于:
所述膜是含有硅、碳、氧和氢的低介电常数膜,
所述前体含有金属,
对所述膜进行蚀刻的所述化学种包含氟化学种和氮化学种,
所述其他化学种包含氧化学种。
12.如权利要求11所述的方法,其特征在于:
所述金属是钨或钛。
13.如权利要求9所述的方法,其特征在于:
所述膜是多晶硅膜,
所述前体含有硅或金属,
对所述膜进行蚀刻的所述化学种包含卤素化学种,
所述其他化学种包含氧化学种。
14.如权利要求13所述的方法,其特征在于:
所述金属是钨或钛。
15.如权利要求9所述的方法,其特征在于:
所述膜是氧化硅膜,
所述前体含有硅或金属,
对所述膜进行蚀刻的所述化学种包含从所述处理气体中的氢氟烃形成的化学种,
所述其他化学种包含氧化学种。
16.如权利要求15所述的方法,其特征在于:
所述金属是钨或钛。
17.一种等离子体处理装置,其特征在于,包括:
腔室;
支承台,其构成为能够在所述腔室内支承基片;
气体供给部,其构成为能够将含有前体的前体气体和处理气体供给到所述腔室内;
等离子体生成部,其构成为能够生成所述处理气体的等离子体;和
控制部,其构成为能够控制所述气体供给部和所述等离子体生成部,
所述控制部构成为:
为了在支承于所述支承台上的基片的膜上形成所述前体的单分子层,控制所述气体供给部,以使得将所述前体气体供给到所述腔室内,
为了对所述膜进行蚀刻并且使所述单分子层变质来形成保护区域,控制所述气体供给部和所述等离子体生成部,以使得将所述处理气体供给到所述腔室内并且生成该处理气体的等离子体。
CN201910444639.1A 2018-05-28 2019-05-27 对膜进行蚀刻的方法和等离子体处理装置 Pending CN110544628A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018101397A JP7022651B2 (ja) 2018-05-28 2018-05-28 膜をエッチングする方法及びプラズマ処理装置
JP2018-101397 2018-05-28

Publications (1)

Publication Number Publication Date
CN110544628A true CN110544628A (zh) 2019-12-06

Family

ID=68614106

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910444639.1A Pending CN110544628A (zh) 2018-05-28 2019-05-27 对膜进行蚀刻的方法和等离子体处理装置

Country Status (5)

Country Link
US (2) US10923360B2 (zh)
JP (1) JP7022651B2 (zh)
KR (1) KR20190135413A (zh)
CN (1) CN110544628A (zh)
TW (2) TWI811367B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10665665B2 (en) * 2018-10-22 2020-05-26 Micron Technology, Inc. Passivation material for a pillar adjacent a trench
US11355350B2 (en) * 2019-12-20 2022-06-07 Tokyo Electron Limited Etching method, substrate processing apparatus, and substrate processing system
JP7412257B2 (ja) * 2019-12-20 2024-01-12 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
WO2021127862A1 (en) * 2019-12-23 2021-07-01 Applied Materials, Inc. Methods for etching a material layer for semiconductor applications
JP2021118347A (ja) * 2020-01-29 2021-08-10 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
US20210233778A1 (en) * 2020-01-29 2021-07-29 Tokyo Electron Limited Etching method, substrate processing apparatus, and substrate processing system
JP7250895B2 (ja) * 2021-06-22 2023-04-03 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
TWI828187B (zh) * 2021-06-22 2024-01-01 日商東京威力科創股份有限公司 蝕刻方法及電漿處理裝置
WO2023127820A1 (ja) * 2021-12-28 2023-07-06 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US20230245895A1 (en) * 2022-02-01 2023-08-03 Applied Materials, Inc. Sidewall passivation for plasma etching
JP7257088B1 (ja) * 2022-03-24 2023-04-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0458205A2 (en) * 1990-05-21 1991-11-27 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion and method of forming same
JP2000100792A (ja) * 1998-09-25 2000-04-07 Hitachi Ltd 半導体装置の製造方法
JP2006278517A (ja) * 2005-03-28 2006-10-12 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP2007227529A (ja) * 2006-02-22 2007-09-06 Tokyo Electron Ltd 半導体装置の製造方法、プラズマ処理装置、及び記憶媒体
JP2007242753A (ja) * 2006-03-07 2007-09-20 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
CN101241859A (zh) * 2007-02-06 2008-08-13 东京毅力科创株式会社 等离子体蚀刻方法和装置、控制程序和计算机存储介质
US20080227224A1 (en) * 2007-03-16 2008-09-18 Nec Electronics Corporation Method of manufacturing semiconductor device and control system
CN101369537A (zh) * 2007-08-17 2009-02-18 东京毅力科创株式会社 半导体装置的制造方法以及存储介质
US20100216268A1 (en) * 2009-02-24 2010-08-26 Sumitomo Electric Industries, Ltd. Manufacturing method of a semiconductor element
JP2012204668A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマエッチング方法および記憶媒体
WO2013042497A1 (ja) * 2011-09-22 2013-03-28 Sppテクノロジーズ株式会社 プラズマエッチング方法
JP2014053502A (ja) * 2012-09-07 2014-03-20 Toshiba Corp 半導体装置の製造方法
US20140120726A1 (en) * 2012-11-01 2014-05-01 Srinivas D. Nemani Method of patterning a low-k dielectric film
US20140251945A1 (en) * 2013-03-05 2014-09-11 Tokyo Electron Limited Method of etching metal layer
CN105474368A (zh) * 2013-09-19 2016-04-06 东京毅力科创株式会社 蚀刻方法
US20160293439A1 (en) * 2015-03-31 2016-10-06 Tokyo Electron Limited Etching method
US20160307775A1 (en) * 2015-04-20 2016-10-20 Tokyo Electron Limited Method for etching organic film

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343677A (en) * 1981-03-23 1982-08-10 Bell Telephone Laboratories, Incorporated Method for patterning films using reactive ion etching thereof
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
JP4653603B2 (ja) * 2005-09-13 2011-03-16 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8591661B2 (en) * 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8691701B2 (en) * 2009-05-08 2014-04-08 Lam Research Corporation Strip with reduced low-K dielectric damage
JP2011077322A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 結晶性珪素膜の成膜方法およびプラズマcvd装置
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
JP6185305B2 (ja) 2013-06-28 2017-08-23 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
US10297459B2 (en) * 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) * 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) * 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
JP6320282B2 (ja) * 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
JP6578145B2 (ja) * 2015-07-07 2019-09-18 東京エレクトロン株式会社 エッチング方法

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0458205A2 (en) * 1990-05-21 1991-11-27 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion and method of forming same
JP2000100792A (ja) * 1998-09-25 2000-04-07 Hitachi Ltd 半導体装置の製造方法
JP2006278517A (ja) * 2005-03-28 2006-10-12 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP2007227529A (ja) * 2006-02-22 2007-09-06 Tokyo Electron Ltd 半導体装置の製造方法、プラズマ処理装置、及び記憶媒体
JP2007242753A (ja) * 2006-03-07 2007-09-20 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
CN101241859A (zh) * 2007-02-06 2008-08-13 东京毅力科创株式会社 等离子体蚀刻方法和装置、控制程序和计算机存储介质
US20080227224A1 (en) * 2007-03-16 2008-09-18 Nec Electronics Corporation Method of manufacturing semiconductor device and control system
CN101369537A (zh) * 2007-08-17 2009-02-18 东京毅力科创株式会社 半导体装置的制造方法以及存储介质
US20100216268A1 (en) * 2009-02-24 2010-08-26 Sumitomo Electric Industries, Ltd. Manufacturing method of a semiconductor element
JP2012204668A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマエッチング方法および記憶媒体
WO2013042497A1 (ja) * 2011-09-22 2013-03-28 Sppテクノロジーズ株式会社 プラズマエッチング方法
JP2014053502A (ja) * 2012-09-07 2014-03-20 Toshiba Corp 半導体装置の製造方法
US20140120726A1 (en) * 2012-11-01 2014-05-01 Srinivas D. Nemani Method of patterning a low-k dielectric film
US20140251945A1 (en) * 2013-03-05 2014-09-11 Tokyo Electron Limited Method of etching metal layer
CN105474368A (zh) * 2013-09-19 2016-04-06 东京毅力科创株式会社 蚀刻方法
US20160293439A1 (en) * 2015-03-31 2016-10-06 Tokyo Electron Limited Etching method
US20160307775A1 (en) * 2015-04-20 2016-10-20 Tokyo Electron Limited Method for etching organic film

Also Published As

Publication number Publication date
KR20190135413A (ko) 2019-12-06
US20190362984A1 (en) 2019-11-28
JP7022651B2 (ja) 2022-02-18
US20210143019A1 (en) 2021-05-13
US10923360B2 (en) 2021-02-16
TW202343572A (zh) 2023-11-01
JP2019207911A (ja) 2019-12-05
TW202004911A (zh) 2020-01-16
US11664236B2 (en) 2023-05-30
TWI811367B (zh) 2023-08-11

Similar Documents

Publication Publication Date Title
JP7022651B2 (ja) 膜をエッチングする方法及びプラズマ処理装置
KR102482619B1 (ko) 에칭 방법
EP2942806A1 (en) Etching method
US11462412B2 (en) Etching method
JP7336365B2 (ja) 膜をエッチングする方法及びプラズマ処理装置
US11594422B2 (en) Film etching method for etching film
JP6592400B2 (ja) エッチング方法
US10580655B2 (en) Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
KR20230129345A (ko) 플라즈마 처리 장치 및 에칭 방법
US10811274B2 (en) Etching method and plasma processing apparatus
CN110021524B (zh) 蚀刻方法
CN112530799A (zh) 蚀刻氧化硅膜的方法及等离子体处理装置
US9633864B2 (en) Etching method
TWI843909B (zh) 電漿處理方法及電漿處理設備
JP2024001464A (ja) エッチング方法及びプラズマ処理装置
KR20200113170A (ko) 막을 에칭하는 방법 및 플라즈마 처리 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination