TW202343572A - 電漿處理裝置 - Google Patents

電漿處理裝置 Download PDF

Info

Publication number
TW202343572A
TW202343572A TW112125937A TW112125937A TW202343572A TW 202343572 A TW202343572 A TW 202343572A TW 112125937 A TW112125937 A TW 112125937A TW 112125937 A TW112125937 A TW 112125937A TW 202343572 A TW202343572 A TW 202343572A
Authority
TW
Taiwan
Prior art keywords
plasma
film
substrate
opening
precursor layer
Prior art date
Application number
TW112125937A
Other languages
English (en)
Inventor
勝沼隆幸
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202343572A publication Critical patent/TW202343572A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

本發明提供一種膜之蝕刻方法,其可將保護側壁面使其避開蝕刻膜之化學物種的保護區域,在不使膜之開口堵塞的情況下,以面內均一方式形成。 在例示之實施形態的膜之蝕刻方法中,於膜上形成前驅物之單分子層。膜具有區隔出開口之側壁面與底面。以從處理氣體形成之電漿的化學物種蝕刻膜。在蝕刻膜當中,以電漿之化學物種或電漿之另一化學物種從單分子層形成保護區域。

Description

電漿處理裝置
本發明之例示的實施形態係有關於膜之蝕刻方法及電漿處理裝置。
在電子元件之製造中,要進行對膜之電漿蝕刻。於電漿蝕刻,在腔室內從氣體產生電漿。配置於腔室內之基板係以電漿之化學物種加以蝕刻。
在電漿蝕刻,為抑制形成於膜之開口往橫方向(即,與膜厚方向垂直相交之方向)擴展,而有於區隔出開口之膜的側壁面上形成保護區域之情形。包含形成保護區域之製程及蝕刻膜之製程的技術記載於例如專利文獻1~3。
在記載於專利文獻1~3之技術中,蝕刻有機膜。在記載於專利文獻1及2之技術中,以濺鍍於側壁面上形成含有矽之保護區域。保護區域保護有機膜避開蝕刻有機膜之氧化學物種。在記載於專利文獻3之技術中,有機膜以使用含有硫化羰、氧及氯之氣體的電漿蝕刻來蝕刻。當產生電漿時,產生硫黃化學物種及氯化矽之化學物種。硫黃化學物種及氯化矽之化學物種於側壁面上形成保護區域。在記載於專利文獻3之技術中,在蝕刻有機膜之同時,形成保護區域。 [先前技術文獻] [專利文獻]
[專利文獻1]日本專利公開公報2012-204668號 [專利文獻2]日本專利公開公報2009-049141號 [專利文獻3]日本專利公開公報2015-012178號
[發明欲解決之問題]
吾人所要求者為保護側壁面避開蝕刻膜之化學物種的保護區域在不堵塞膜之開口下,以面內均一方式形成。又,吾人所要求者為保護區域可形成於區隔出具有高深寬比之開口的側壁面上。 [解決問題之手段]
根據一個例示的實施形態,提供膜之蝕刻方法。該膜係有機膜。該有機膜具有區隔出開口之側壁面與底面。該蝕刻方法包含:(i)於有機膜上形成前驅物之單分子層的製程;及(ii)以從含氧氣體形成之電漿的氧化學物種蝕刻有機膜之製程。在蝕刻有機膜之製程中,以電漿之氧化學物種將單分子層氧化而形成保護區域。 [發明之效果]
根據一個例示之實施形態的膜之蝕刻方法,保護側壁面避開蝕刻膜之化學物種的保護區域在不堵塞膜之開口下,以面內均一方式形成。又,保護區域可形成於區隔出具有高深寬比之開口的側壁面上。
[用以實施發明之形態]
以下,就各種例示之實施形態作說明。
在一個例示之實施形態中,提供膜之蝕刻方法。膜係有機膜。有機膜具有區隔出開口之側壁面與底面。方法包含:(i)於有機膜上形成前驅物之單分子層的製程;及(ii)以從含氧氣體形成之電漿的氧化學物種蝕刻有機膜之製程。在蝕刻有機膜之製程中,以電漿之氧化學物種將單分子層氧化而形成保護區域。
在一個例示之實施形態的方法中,保護區域從單分子層形成。因而,可抑制因保護區域引起之有機膜的開口之堵塞。又,保護區域可以面內均一方式形成。再者,保護區域亦可形成於區隔出具有高深寬比之開口的側壁面上。在此方法中,以為了蝕刻有機膜而產生之電漿的氧化學物種將單分子層氧化,而形成保護區域。因而,用以使單分子層變化成保護區域之處理與有機膜之蝕刻同時進行。
在一個例示之實施形態中,前驅物亦可含有矽。在一個例示之實施形態中,前驅物亦可含有金屬。金屬亦可為鎢或鈦。
在一個例示之實施形態中,方法亦可更包含於有機膜上形成上述開口之製程。
在一個例示之實施形態中,亦可交互地反覆進行形成單分子層之製程及蝕刻有機膜之製程。
在一個例示之實施形態中,形成單分子層之製程與蝕刻有機膜之製程亦可在於單一之電漿處理裝置的腔室內連續維持之減壓空間內,在不從腔室取出具有有機膜之基板的情況下執行。
在一個例示之實施形態中,電漿處理裝置亦可為電容耦合型電漿處理裝置。此電漿處理裝置可包含腔室、支撐台、氣體供給部、第1射頻電源、及第2射頻電源。支撐台具有下部電極,並在腔室內支撐基板。氣體供給部將含有前驅物之前驅物氣體及含氧氣體供至腔室內。上部電極設於支撐台之上方。第1射頻電源將用以產生電漿之第1射頻電力供至上部電極。第2射頻電源將用以將離子引入至基板之第2射頻電力供至下部電極。
在另一例示之實施形態中,提供膜之蝕刻方法。膜具有區隔出開口之側壁面與底面。方法包含:(i)於膜上形成前驅物之單分子層的製程;及(ii)以從處理氣體形成之電漿的化學物種蝕刻膜之製程。在蝕刻膜之製程中,以該電漿之化學物種或該電漿之另一化學物種從單分子層形成保護區域。
在一個例示之實施形態的方法中,保護區域從單分子層形成。因而,可抑制因保護區域引起之膜的開口之堵塞。又,保護區域可以面內均一方式形成。再者,保護區域亦可形成於區隔出具有高深寬比之開口的側壁面上。在此方法中,為了蝕刻膜而產生之電漿的化學物種或該電漿之另一化學物種使單分子層變質,結果,形成保護區域。因而,用以使單分子層變化成保護區域之處理與膜之蝕刻同時進行。
在一個例示之實施形態中,膜亦可為含有矽、碳、氧及氫之低介電常數膜。前驅物亦可含有矽。蝕刻膜之化學物種亦可含有氟化學物種及氮化學物種。在此實施形態之方法中,以氮化學物種將前驅物氮化。
在一個例示之實施形態中,膜亦可為含有矽、碳、氧及氫之低介電常數膜。前驅物亦可含有金屬。蝕刻膜之化學物種亦可含有氟化學物種及氮化學物種。在此實施形態之方法中,另一化學物種亦可含有氧化學物種。在此實施形態之方法中,以氧化學物種將前驅物氧化。金屬亦可為鎢或鈦。
在一個例示之實施形態中,膜亦可為多晶矽膜。前驅物亦可含有矽或金屬。蝕刻膜之化學物種亦可含有鹵素化學物種。另一化學物種亦可含有氧化學物種。在此實施形態之方法中,以氧化學物種將前驅物氧化。金屬亦可為鎢或鈦。
在一個例示之實施形態中,膜亦可為氮化矽膜。前驅物亦可含有矽或金屬。蝕刻膜之化學物種亦可含有由處理氣體中之氫氟碳形成的化學物種。另一化學物種亦可含有氧化學物種。在此實施形態之方法中,以氧化學物種將前驅物氧化。金屬亦可為鎢或鈦。
在又另一實施形態中,提供電漿處理裝置。電漿處理裝置包含腔室、支撐台、氣體供給部、電漿產生部及控制部。支撐台在腔室內支撐基板。氣體供給部將含有前驅物之前驅物氣體及處理氣體供至腔室內。電漿產生部產生處理氣體之電漿。控制部將氣體供給部控制成將前驅物氣體供至腔室內,以於支撐在支撐台上之基板的膜上形成前驅物之單分子層。又,控制部將氣體供給部及電漿產生部控制成將處理氣體供至腔室內,且產生處理氣體之電漿,以蝕刻膜且使單分子層變質而形成保護區域。
以下,參照圖式,就各種例示之實施形態詳細地說明。此外,在各圖式中對同一或相當之部分附上同一符號。
圖1係顯示一個例示之實施形態的膜之蝕刻方法的流程圖。圖1所示之方法MT係為了蝕刻基板之膜而執行。圖2係一例之基板的部分放大截面圖。圖2所示之基板W具有膜EF。基板W亦可具有基底區域UR及遮罩MK。
膜EF設於基底區域UR上。遮罩MK設於膜EF上。遮罩MK圖形化。即,遮罩MK提供一個以上之開口。膜EF從遮罩MK之開口部分露出。膜EF可由任意材料形成。遮罩MK只要在後述製程ST3,可對遮罩MK選擇性蝕刻膜EF,可由任意材料形成。
在基板W之第1例中,膜EF係有機膜。在基板W之第1例中,遮罩MK係含矽膜。含矽膜係例如含有矽之反射防止膜。
在基板W之第2例中,膜EF係低介電常數膜,含有矽、碳、氧及氫。即,在基板W之第2例中,膜EF係SiCOH膜。在基板W之第2例中,遮罩MK由含鎢膜、含鈦膜這樣的含金屬膜形成。在基板W之第2例中,遮罩MK亦可由光阻膜這樣的有機膜、氮化矽膜、或聚矽膜形成。
在基板W之第3例中,膜EF係多晶矽膜。在基板W之第3例中,遮罩MK由含鎢膜這樣的含金屬膜形成。在基板W之第3例中,遮罩MK亦可由光阻膜這樣的有機膜或氮化矽膜形成。
在基板W之第4例中,膜EF係氮化矽膜。在基板W之第4例中,遮罩MK由含鎢膜、含鈦膜這樣的含金屬膜形成。在基板W之第4例中,遮罩MK亦可由光阻膜這樣的有機膜或聚矽膜形成。
如圖1所示,方法MT包含製程ST1及製程ST3。在一實施形態中,方法MT使用電漿處理裝置來執行。圖3係概略地顯示一個例示之實施形態的電漿處理裝置之圖。圖3所示之電漿處理裝置1係電容耦合型電漿處理裝置。
電漿處理裝置1包含腔室10。腔室10於其內部提供內部空間10s。腔室10包含腔室本體12。腔室本體12呈大約圓筒形狀。於腔室本體12之內側提供內部空間10s。腔室本體12由例如鋁形成。於腔室本體12之內壁面上設有具耐腐蝕性之膜。具耐腐蝕性之膜可為由氧化鋁、氧化釔這樣的陶瓷形成之膜。
於腔室本體12之側壁形成有通路12p。基板W於在內部空間10s與腔室10的外部之間搬送時,通過通路12p。通路12p可以閘閥12g開閉。閘閥12g沿著腔室本體12之側壁而設。
於腔室本體12之底部上設有支撐部13。支撐部13由絕緣材料形成。支撐部13呈大約圓筒形狀。支撐部13在內部空間10s中,從腔室本體12之底部延伸至上方。支撐部13支撐支撐台14。支撐台14設於內部空間10s中。支撐台14在腔室10內亦即內部空間10s中,支撐基板W。
支撐台14具有下部電極18及靜電吸盤20。支撐台14可更具有電極板16。電極板16由例如鋁這樣的導體形成,呈大約圓盤形狀。下部電極18設於電極板16上。下部電極18由例如鋁這樣的導體形成,呈大約圓盤形狀。下部電極18電性連接於電極板16。
靜電吸盤20設於下部電極18上。可於靜電吸盤20之上面上載置基板W。靜電吸盤20具有本體及電極。靜電吸盤20之本體呈大約圓盤形狀,由介電體形成。靜電吸盤20之電極係膜狀電極,設於靜電吸盤20之本體內。靜電吸盤20之電極藉由開關20s連接於直流電源20p。當對靜電吸盤20之電極施加來自直流電源20p之電壓時,在靜電吸盤20與基板W之間產生靜電引力。藉所產生之靜電引力,基板W被吸引至靜電吸盤20,而以靜電吸盤20保持。
聚焦環FR於下部電極18之周緣部上配置成包圍基板W之邊緣。聚焦環FR係為了使對基板W之電漿處理的面內均一性提高而設。聚焦環FR並未受到限定,可由矽、碳化矽、或石英形成。
於下部電極18之內部設有流路18f。從設於腔室10之外部的冷卻單元22經由配管22a將熱交換媒體(例如冷媒)供至流路18f。供至流路18f之熱交換媒體經由配管22b返回至冷卻單元22。在電漿處理裝置1,以熱交換媒體與下部電極18之熱交換調整載置於靜電吸盤20上之基板W的溫度。
於電漿處理裝置1設有氣體供給管路24。氣體供給管路24將來自傳熱氣體供給機構之傳熱氣體(例如He氣體)供至靜電吸盤20之上面與基板W的背面之間。
電漿處理裝置1更包含上部電極30。上部電極30設於支撐台14之上方。上部電極30藉由構件32支撐於腔室本體12之上部。構件32由具絕緣性之材料形成。上部電極30與構件32封閉腔室本體12之上部開口。
上部電極30可包含頂板34及支撐體36。頂板34之下面係內部空間10s之側的下面,區隔出內部空間10s。頂板34可由焦耳熱少之低電阻導電體或半導體形成。於頂板34形成有複數之氣體噴吐孔34a。複數之氣體噴吐孔34a將頂板34於其厚度方向貫穿。
支撐體36係以自由裝卸方式支撐著頂板34。支撐體36由鋁這樣的導電性材料形成。於支撐體36之內部設有氣體擴散室36a。於支撐體36形成有複數之氣孔36b。複數之氣孔36b從氣體擴散室36a延伸至下方。複數之氣孔36b分別連通複數之氣體噴吐孔34a。於支撐體36形成有氣體導入口36c。氣體導入口36c連接於氣體擴散室36a。於氣體導入口36c連接有氣體供給管38。
於氣體供給管38藉由閥群41、流量控制器群42及閥群43連接有氣體源群40。氣體源群40、閥群41、流量控制器群42及閥群43構成氣體供給部GS。氣體源群40包含複數之氣體源。氣體源群40之複數的氣體源包含在方法MT利用之複數的氣體之源。閥群41及閥群43各包含複數之開關閥。流量控制器群42包含複數之流量控制器。流量控制器群42之複數的流量控制器各為質量流量控制器或壓力控制式流量控制器。氣體源群40之複數的氣體源分別藉由閥群41之對應的開關閥、流量控制器群42之對應的流量控制器、及閥群43之對應的開關閥連接於氣體供給管38。
在電漿處理裝置1,屏蔽件46沿著腔室本體12之內壁面以自由裝卸方式設置。屏蔽件46亦設於支撐部13之外周。屏蔽件46防止蝕刻副產物附著於腔室本體12。屏蔽件46例如藉於由鋁形成之母材的表面形成具耐腐蝕性之膜而構成。具耐腐蝕性之膜可為由氧化釔這樣的陶瓷形成之膜。
於支撐部13與腔室本體12的側壁之間設有擋板48。擋板48例如藉於由鋁形成之母材的表面形成具耐腐蝕性之膜而構成。具耐腐蝕性之膜可為由氧化釔這樣的陶瓷形成之膜。於擋板48形成有複數之貫穿孔。於擋板48之下方、且為腔室本體12之底部設有排氣口12e。於排氣口12e藉由排氣管52連接有排氣裝置50。排氣裝置50具有壓力調整閥及渦輪分子泵這樣的真空泵。
電漿處理裝置1更包含第1射頻電源62及第2射頻電源64。第1射頻電源62係產生第1射頻電力之電源。第1射頻電力具有適合產生電漿之頻率。第1射頻電力之頻率為例如27MHz~100MHz之範圍內的頻率。第1射頻電源62藉由匹配器66及電極板16連接於上部電極30。匹配器66具有用以使第1射頻電源62之輸出阻抗與負載側(上部電極30側)之阻抗匹配的電路。此外,第1射頻電源62亦可藉由匹配器66連接於下部電極18。第1射頻電源62構成一例之電漿產生部。
第2射頻電源64係產生第2射頻電力之電源。第2射頻電力具有低於第1射頻電力之頻率的頻率。將第2射頻電力與第1射頻電力一同使用時,使用第2射頻電力作為用以將離子引入至基板W之偏壓用射頻電力。第2射頻電力之頻率係例如40kHz~13.56MHz之範圍內的頻率。第2射頻電源64藉由匹配器68及電極板16連接於下部電極18。匹配器68具有用以使第2射頻電源64之輸出阻抗與負載側(下部電極18側)之阻抗匹配的電路。
此外,亦可不使用第1射頻電力,而使用第2射頻電力,即,僅使用單一之射頻電力,產生電漿。此時,第2射頻電力之頻率亦可為大於13.56MHz之頻率、例如40MHz。此時,電漿處理裝置1亦可不具有第1射頻電源62及匹配器66。此時,第2射頻電源64構成一例之電漿產生部。
在電漿處理裝置1產生電漿時,從氣體供給部GS將氣體供至內部空間10s。又,藉供給第1射頻電力及/或第2射頻電力,而在上部電極30與下部電極18之間產生射頻電場。以所產生之射頻電場,激發氣體。結果,產生電漿。
電漿處理裝置1可更包含控制部80。控制部80可為具有處理器、記憶體這樣的記憶部、輸入裝置、顯示裝置、信號之輸入輸出介面等的電腦。控制部80控制電漿處理裝置1之各部。在控制部80,可使用輸入裝置,進行指令之輸入操作等,以使操作員管理電漿處理裝置1。又,在控制部80,可以顯示裝置,將電漿處理裝置1之運轉狀況可視化來顯示。再者,於控制部80之記憶部儲存有控制程式及配方資料。控制程式以控制部80之處理器執行,以在電漿處理裝置1執行各種處理。控制部80之處理器執行控制程式,根據配方資料,控制電漿處理裝置1之各部,藉此,方法MT在電漿處理裝置1執行。
再參照圖1,就方法MT詳細地說明。在以下之說明中,以使用電漿處理裝置1來處理圖2所示之基板W的情形為例,說明方法MT。此外,在方法MT,亦可使用其他之電漿處理裝置。在方法MT,亦可處理其他基板。
方法MT在基板W載置於支撐台14上之狀態下執行。在一實施形態中,方法MT在製程STa開始。在製程STa,以電漿蝕刻將膜EF蝕刻。
在製程STa,在腔室10內,從處理氣體產生電漿PLa。當處理上述基板W之第1例時,即,基板W之膜EF為有機膜時,在製程STa使用之處理氣體可含有含氧氣體。含氧氣體包含例如氧氣、一氧化碳氣體、或二氧化碳氣體。或者,當處理基板W之第1例時,在製程STa使用之處理氣體亦可含有氮氣及/或氫氣。
當處理上述基板W之第2例時,即,基板W之膜EF為低介電常數膜時,在製程STa使用之處理氣體可含有含氟之氣體。含氟之氣體為例如氟碳氣體。氟碳氣體為例如C 4F 8氣體。
當處理上述基板W之第3例時,即,基板W之膜EF為多晶矽膜時,在製程STa使用之處理氣體可含有含鹵素氣體。含鹵素氣體為例如HBr氣體、Cl 2氣體、或SF 6氣體。
當處理上述基板W之第4例時,即,基板W之膜EF為氮化矽膜時,在製程STa使用之處理氣體可含有氫氟碳氣體。氫氟碳氣體為例如CH 3F氣體。
在製程STa,如圖4(a)所示,對膜EF照射電漿PLa之化學物種,而以該化學物種蝕刻膜EF。在製程STa,膜EF被蝕刻至膜EF之下面與膜EF的上面之間的位置。膜EF之下面係與基底區域UR接觸之膜EF的面。膜EF之上面係從遮罩MK之開口露出的膜EF之表面。當執行製程STa時,如圖4(b)所示,於膜EF形成開口OP。開口OP以膜EF之側壁面SS及底面BS劃分。
在製程STa,控制部80將排氣裝置50控制成將內部空間10s中之壓力設定成指定壓力。在製程STa,控制部80將氣體供給部GS控制成將處理氣體供至內部空間10s。在製程STa,控制部80將電漿產生部控制成從處理氣體產生電漿。在一實施形態之製程STa,控制部80將第1射頻電源62及/或第2射頻電源64控制成供給第1射頻電力及/或第2射頻電力。
製程STa之電漿蝕刻亦可為與後述製程ST3之電漿蝕刻相同的電漿蝕刻。關於此時之製程STa的電漿蝕刻之細節及在製程STa之控制部80所行的控制,請參照製程ST3之說明。
此外,方法MT亦可不包含製程STa。此時,於應用方法MT之基板的膜EF預先設開口OP。
在方法MT,執行製程ST1。在製程ST1,如圖5所示,於基板W上形成前驅物之單分子層ML。單分子層ML形成於側壁面SS及底面BS上。單分子層ML亦形成於遮罩MK上。構成單分子層ML之前驅物只要於執行後述製程ST3當中變質而形成保護區域PR,且保護區域PR保護側壁面SS避開蝕刻膜EF之活性物種,可為任意之前驅物。
在製程ST1,將含有前驅物之前驅物氣體供至具有開口OP之基板W。在一實施形態中,將前驅物氣體供至內部空間10s。在製程ST1,亦可將載體氣體與前驅物氣體一同供給。載體氣體可為惰性氣體。惰性氣體為例如稀有氣體或氮氣。在執行製程ST1當中,在腔室10內不產生電漿。
不論處理上述基板W之第1例~第4例的哪一例,在製程ST1使用之前驅物氣體皆為含矽氣體或含金屬氣體。含矽氣體含有含矽物作為前驅物。含矽氣體為例如矽氮烷氣體。含金屬氣體含有含金屬物作為前驅物。含金屬物含有例如鎢或鈦。含金屬氣體為例如含鎢氣體或含鈦氣體。含鎢氣體可為六氟化鎢氣體、六氯化鎢氣體這樣的鹵化鎢氣體。含鈦氣體可為例如四氟化鈦氣體、四氯化鈦氣體這樣的鹵化鈦氣體。
在製程ST1,控制部80將排氣裝置50控制成將內部空間10s中之壓力設定成指定壓力。在製程ST1,控制部80將氣體供給部GS控制成將前驅物氣體供至內部空間10s。在製程ST1,亦可將載體氣體與前驅物氣體一同供給。載體氣體為惰性氣體。惰性氣體為例如稀有氣體或氮氣。
在方法MT中,亦可於製程ST1與製程ST3之間執行製程ST2。在製程ST2,執行內部空間10s之沖洗。在製程ST2,控制部80將排氣裝置50控制成執行內部空間10s之排氣。在製程ST2,控制部80亦可將氣體供給部GS控制成將惰性氣體供至內部空間10s。藉製程ST2之執行,將內部空間10s中之前驅物氣體置換成惰性氣體。藉製程ST2之執行,亦可去除吸附至基板W上之過剩的前驅物。此時,製程ST1之前驅物氣體的供給與製程ST2之沖洗的結果,形成單分子層ML。
在製程ST3,以電漿蝕刻將膜EF蝕刻。在製程ST3,在腔室10內從處理氣體產生電漿PL3。在製程ST3,如圖6(a)所示,對膜EF照射處理氣體之電漿的活性物種,而如圖6(b)所示,蝕刻膜EF。在製程ST3,蝕刻膜EF之化學物種或電漿PL3之另一化學物種與單分子層ML中之前驅物反應,而從單分子層ML形成保護區域PR。
當處理上述基板W之第1例時,即基板W之膜EF為有機膜時,在製程ST3使用之處理氣體可含有含氧氣體。含氧氣體含有例如氧氣、一氧化碳氣體、或二氧化碳氣體。在製程ST3,處理氣體亦可更含有硫化羰。當處理基板W之第1例時,以電漿PL3之氧化學物種蝕刻膜EF。又,以電漿PL3之氧化學物種與單分子層ML中之前驅物的反應,形成保護區域PR。當單分子層ML中之前驅物為含矽物時,保護區域PR由氧化矽形成。當單分子層ML中之前驅物為含金屬物時,保護區域PR由金屬氧化物(例如氧化鎢或氧化鈦)形成。
當處理上述基板W之第2例時,即,基板W之膜EF為低介電常數膜時,在製程ST3使用之處理氣體含有氟及氮。舉例而言,處理氣體含有氟碳氣體及含氮氣體。氟碳氣體為例如C 4F 8氣體。含氮氣體為例如氮氣(N 2氣體)。處理氣體亦可更含有稀有氣體(例如Ar氣體)及氧氣(O 2氣體)。當處理基板W之第2例時,以電漿PL3之氟化學物種及氮化學物種蝕刻膜EF。當單分子層ML中之前驅物為含矽物時,在製程ST3,以電漿PL3之氮化學物種與單分子層ML中之含矽物的反應,形成保護區域PR。此時,保護區域PR由氮化矽形成。當單分子層ML中之前驅物為含金屬物時,在製程ST3中,以電漿PL3之氧化學物種與單分子層ML中之含金屬物的反應,形成保護區域PR。此時,保護區域PR由金屬氧化物(例如氧化鎢或氧化鈦)形成。此外,電漿PL3之氧化學物種使在製程ST3形成於基板W上之含碳沉積物的量減少。
當處理上述基板W之第3例時,即,基板W之膜EF為多晶矽膜時,在製程ST3使用之處理氣體可為含鹵素氣體及含氧氣體。含鹵素氣體為HBr氣體、Cl 2氣體、或SF 6氣體。含氧氣體含有例如氧氣、一氧化碳氣體、或二氧化碳氣體。當處理基板W之第3例時,以電漿PL3之鹵素化學物種蝕刻膜EF。又,以電漿PL3之氧化學物種與單分子層ML中之前驅物的反應,形成保護區域PR。當單分子層ML中之前驅物為含矽物時,保護區域PR由氧化矽形成。當單分子層ML中之前驅物為含金屬物時,保護區域PR由金屬氧化物(例如氧化鎢或氧化鈦)形成。
當處理上述基板W之第4例時,即,基板W之膜EF為氮化矽膜時,在製程ST3使用之處理氣體可含有氫氟碳氣體及含氧氣體。氫氟碳氣體為例如CH 3F氣體。含氧氣體含有例如氧氣、一氧化碳氣體或二氧化碳氣體。在製程ST3使用之處理氣體亦可更含有稀有氣體(例如Ar氣體)。當處理基板W之第4例時,以由處理氣體中之氫氟碳氣體形成的化學物種,蝕刻膜EF。又,以電漿PL3之氧化學物種與單分子層ML中之前驅物的反應,形成保護區域PR。當單分子層ML中之前驅物為含矽物時,保護區域PR由氧化矽形成。當單分子層ML中之前驅物為含金屬物時,保護區域PR由金屬氧化物(例如氧化鎢或氧化鈦)形成。此外,電漿PL3之氧化學物種使在製程ST3形成於基板W上之含碳沉積物的量減少。
在製程ST3,控制部80將排氣裝置50控制成將內部空間10s中之壓力設定成指定壓力。在製程ST3中,控制部80將氣體供給部GS控制成將處理氣體供至內部空間10s。在製程ST3中,控制部80將電漿產生部控制成從處理氣體產生電漿。在一實施形態之製程ST3中,控制部80將第1射頻電源62及/或第2射頻電源64控制成供給第1射頻電力及/或第2射頻電力。
在方法MT,亦可於執行製程ST3後,執行製程ST4。在製程ST4,執行內部空間10s之沖洗。製程ST4係與製程ST2相同之製程。
在接著之製程ST5中,判定是否滿足停止條件。停止條件係當製程ST1與製程ST3之交互反覆次數達到預定次數時,便判定為滿足。在製程ST5,當判定為未滿足停止條件時,便再次執行自製程ST1起之處理。製程ST1及製程ST3之交互地反覆進行的結果,開口OP之深度增大。如圖7所示,開口OP形成為到達基底區域UR之表面。在製程ST5,當判定為滿足停止條件時,方法MT便結束。此外,包含製程ST1及製程ST3之程序亦可僅執行一次。此時,不需執行製程ST5。
在方法MT,在蝕刻膜EF當中(即,在執行製程ST3當中),以保護區域PR保護側壁面SS。因而,可抑制以蝕刻膜EF之化學物種,蝕刻側壁面SS而開口OP往橫方向(即,與膜EF之膜厚方向垂直相交的方向)擴展。此外,在執行製程ST3當中,在單分子層ML之所有區域中在膜EF上延伸之區域及在遮罩MK之上面上延伸的區域由於朝向該等區域之離子通量大而被蝕刻。
如上述,在方法MT中,保護區域PR從單分子層ML形成。因而,可抑制因保護區域PR引起之膜EF的開口之堵塞。又,保護區域PR可以面內均一方式形成。再者,保護區域PR亦可形成於區隔出具有高深寬比之開口OP的側壁面上。在方法MT中,為了蝕刻膜EF而產生之電漿PL3的化學物種或電漿PL3之另一化學物種使單分子層變質,結果,形成保護區域PR。因而,用以使單分子層ML變化成保護區域PR之處理與膜EF之蝕刻同時進行。
在一實施形態中,如上述,製程ST1與製程ST3亦可在內部空間10s中,在不將基板W從腔室10取出下執行。即,方法MT亦可在於單一之電漿處理裝置的腔室內連續維持之減壓空間內,在不將基板W從腔室取出下執行。
以上,就各種例示之實施形態作了說明,不限上述例示之實施形態,進行各種省略、置換、及變更亦可。又,可組合不同之實施形態的要件而形成其他實施形態。
舉例而言,用於製程ST1之執行的裝置與用於製程ST3之執行的電漿處理裝置亦可為不同之裝置。用於製程STa之執行的電漿處理裝置亦可與用於製程ST1之執行的裝置及用於製程ST3之執行的電漿處理裝置不同。
又,用於方法MT之執行的電漿處理裝置亦可為任意類型之電漿處理裝置。舉例而言,用於方法MT之執行的電漿處理裝置亦可為感應耦合型電漿處理裝置或將微波這樣的表面波用於電漿產生之電漿處理裝置。
從以上之說明,應可理解本發明之各種實施形態係為了說明而在本說明書說明,可在不脫離本發明之範圍及主旨下進行各種變更。因而,本說明書所揭示之各種實施形態並不謀求限定,真正的範圍及主旨以附加之申請專利範圍表示。
1:電漿處理裝置 10:腔室 10s:內部空間 12:腔室本體 12e:排氣口 12g:閘閥 12p:通路 13:支撐部 14:支撐台 16:電極板 18:下部電極 18f:流路 20:靜電吸盤 20p:直流電源 20s:開關 22:冷卻單元 22a:配管 22b:配管 24:氣體供給管路 30:上部電極 32:構件 34:頂板 34a:氣體噴吐孔 36:支撐體 36a:氣體擴散室 36b:氣孔 36c:氣體導入口 38:氣體供給管 40:氣體源群 41:閥群 42:流量控制器群 43:閥群 46:屏蔽件 48:擋板 50:排氣裝置 52:排氣管 62:第1射頻電源 64:第2射頻電源 66:匹配器 68:匹配器 80:控制部 BS:底面 EF:膜 FR:聚焦環 GS:氣體供給部 MK:遮罩 ML:單分子層 MT:膜之蝕刻方法 OP:開口 PLa:電漿 PL3:電漿 PR:保護區域 SS:側壁面 STa:製程 ST1:製程 ST2:製程 ST3:製程 ST4:製程 ST5:製程 UR:基底區域 W:基板
圖1係顯示一個例示之實施形態的膜之蝕刻方法的流程圖。 圖2係一例之基板的部分放大截面圖。 圖3係概略地顯示一個例示之實施形態的電漿處理裝置之圖。 圖4(a)係用以說明圖1所示之方法的製程STa之例的圖,圖4(b)係執行製程STa後之狀態的一例之基板的部分放大截面圖。 圖5係執行圖1所示之方法的製程ST1後之狀態的一例之基板的部分放大截面圖。 圖6(a)係用以說明圖1所示之方法的製程ST3之例的圖,圖6(b)係執行製程ST3後之狀態的一例之基板的部分放大截面圖。 圖7係執行圖1所示之方法後的狀態之一例的基板之部分放大截面圖。
MT:膜之蝕刻方法
STa:製程
ST1:製程
ST2:製程
ST3:製程
ST4:製程
ST5:製程

Claims (14)

  1. 一種電漿處理裝置,包含: 一電漿腔室,配置為容納具有膜的一基板,該膜具有區隔出一開口之一側壁面與一底面; 一控制部,配置為控制在該電漿腔室中的該基板上執行的製程,其中該控制部包含一循環以執行: 在該膜的該開口上形成一前驅物層; 產生一電漿以從該前驅物層在該膜的該開口之該側壁面上形成一保護膜並蝕刻該膜的該開口的該底面,以及 其中,在該產生過程中,該電漿同時在該開口的該側壁面上形成該保護膜並蝕刻該開口的該底面。
  2. 如請求項1之電漿處理裝置,其中,該控制部交替地重複該前驅物層的形成及該電漿的產生。
  3. 如請求項1之電漿處理裝置,其中,該控制部在該電漿腔室內連續維持之減壓空間內執行該前驅物層的形成及該電漿的產生,且係在不從該電漿腔室取出該基板的情況下。
  4. 如請求項3之電漿處理裝置,進一步包含: 一支撐台,包含一下部電極並配置為支撐該電漿腔室中的該基板; 一氣體供給部,配置為將一氣態前驅物及含氧氣體供至該電漿腔室內; 一上部電極,設於該支撐台之上方; 一第1射頻電源,配置為將用以在該電漿腔室內產生該電漿之第1射頻電力供至該上部電極;以及 一第2射頻電源,配置為將用以將離子從該電漿引入至該基板之第2射頻電力供至該下部電極。
  5. 一種電漿處理裝置,包含: 一電漿腔室,配置為容納具有膜的一基板,該膜具有區隔出一開口之一側壁面與一底面; 一控制部,配置為控制在該電漿腔室中的該基板上執行的製程,其中該控制部編程以執行包含以下的該製程: 在該膜的該開口上形成一前驅物層;以及 在形成該前驅物層之後,產生一電漿以在該膜的該開口的該側壁面上形成一保護膜及蝕刻該膜的該開口的該底面, 其中,該基板包含該膜之上方的一遮罩,且該電漿同時在該膜的該開口的該側壁面上形成該保護膜及蝕刻該膜的該開口的該底面,該保護膜係由該電漿的一化學物種與該前驅物層反應形成,該前驅物層已形成在該開口的該側壁面上,以及 該膜的該底面係通過該遮罩及該膜的該開口而加以蝕刻。
  6. 如請求項5之電漿處理裝置,其中,該控制部交替地重複該前驅物層的形成及該電漿的產生。
  7. 如請求項5之電漿處理裝置,其中,該膜包含一有機膜,該前驅物層及該保護膜包含矽,且與該前驅物層反應的該電漿的該化學物種包含氧化學物種。
  8. 如請求項6之電漿處理裝置,其中,該控制部在該電漿腔室內連續維持之減壓空間內執行該前驅物層的形成和該電漿的產生,且係在不從該電漿腔室取出該基板的情況下。
  9. 如請求項5之電漿處理裝置,其中,該電漿的產生還包括: (i)向該基板所在的該電漿腔室施加一第1射頻功率以形成該電漿;以及 (ii)向該基板所在的該電漿腔室施加一第2射頻功率以將離子從該電漿引入至該基板。
  10. 一種電漿處理系統,包含: 一記憶體;以及 一處理器,耦合至該記憶體並配置為: 在設置於一處理腔室中的一基板上形成的膜的一開口上形成一前驅物層,該膜具有區隔出該開口之一側壁面與一底面;以及 在該處理腔室內產生一電漿以同時從該前驅物層在該膜的該開口的該側壁面上形成一保護膜並蝕刻該膜的該開口的該底面。
  11. 如請求項10之電漿處理系統,其中,該處理器配置為交替地重複預定次數的該前驅物層的形成及該電漿的產生。
  12. 如請求項10之電漿處理系統,其中,該處理器配置為在該處理腔室內連續維持之減壓空間內執行該前驅物層的形成和該電漿的產生,且係在不從該處理腔室取出該基板的情況下。
  13. 如請求項10之電漿處理系統,其中,該處理器還配置為: 施加一第1射頻功率至該基板所設置的該處理腔室以形成該電漿;以及 施加一第2射頻功率至該基板所設置的該處理腔室以將離子從該電漿引入至該基板。
  14. 一種電漿處理裝置,包含: 一電漿腔室,具有一氣體導入口和一氣體排氣口,其配置為容納一基板 一基板支撐台,設置在該電漿腔室中; 至少一電漿產生部;以及 一控制部,配置為控制該裝置以執行序列,該序列包括: 在該基板支撐台上提供該基板,該基板具有膜,該膜包含區隔出開口之一側壁面與一底面,該基板還包含該膜上方之一遮罩; 在該膜的該開口上形成一前驅物層,該前驅物層包含矽或金屬,該前驅物層的形成包含在該開口的該側壁面和該底面上及在該遮罩的一頂面上形成該前驅物層,且當該前驅物層形成時電漿不產生;以及 產生電漿以從該前驅物層在該膜的該開口的該側壁面上形成一保護膜並蝕刻該膜的該開口的該底面,以及 其中,在該產生過程中,在從該開口的該底面及該遮罩的該頂面移除該前驅物層時該電漿同時在該開口的該側壁面上形成該保護層。
TW112125937A 2018-05-28 2019-05-21 電漿處理裝置 TW202343572A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018101397A JP7022651B2 (ja) 2018-05-28 2018-05-28 膜をエッチングする方法及びプラズマ処理装置
JP2018-101397 2018-05-28

Publications (1)

Publication Number Publication Date
TW202343572A true TW202343572A (zh) 2023-11-01

Family

ID=68614106

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108117401A TWI811367B (zh) 2018-05-28 2019-05-21 膜之蝕刻方法及電漿處理裝置
TW112125937A TW202343572A (zh) 2018-05-28 2019-05-21 電漿處理裝置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108117401A TWI811367B (zh) 2018-05-28 2019-05-21 膜之蝕刻方法及電漿處理裝置

Country Status (5)

Country Link
US (2) US10923360B2 (zh)
JP (1) JP7022651B2 (zh)
KR (1) KR20190135413A (zh)
CN (1) CN110544628A (zh)
TW (2) TWI811367B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10665665B2 (en) * 2018-10-22 2020-05-26 Micron Technology, Inc. Passivation material for a pillar adjacent a trench
US11355350B2 (en) * 2019-12-20 2022-06-07 Tokyo Electron Limited Etching method, substrate processing apparatus, and substrate processing system
JP7412257B2 (ja) * 2019-12-20 2024-01-12 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
JP2021118347A (ja) * 2020-01-29 2021-08-10 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
US20210233778A1 (en) * 2020-01-29 2021-07-29 Tokyo Electron Limited Etching method, substrate processing apparatus, and substrate processing system
JP7250895B2 (ja) * 2021-06-22 2023-04-03 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
TWI828187B (zh) * 2021-06-22 2024-01-01 日商東京威力科創股份有限公司 蝕刻方法及電漿處理裝置
WO2023127820A1 (ja) * 2021-12-28 2023-07-06 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US20230245895A1 (en) * 2022-02-01 2023-08-03 Applied Materials, Inc. Sidewall passivation for plasma etching
JP7257088B1 (ja) * 2022-03-24 2023-04-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343677A (en) * 1981-03-23 1982-08-10 Bell Telephone Laboratories, Incorporated Method for patterning films using reactive ion etching thereof
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
JP3570903B2 (ja) * 1998-09-25 2004-09-29 株式会社ルネサステクノロジ 半導体装置の製造方法
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
JP4653603B2 (ja) * 2005-09-13 2011-03-16 株式会社日立ハイテクノロジーズ プラズマエッチング方法
JP2007227529A (ja) * 2006-02-22 2007-09-06 Tokyo Electron Ltd 半導体装置の製造方法、プラズマ処理装置、及び記憶媒体
JP4912907B2 (ja) * 2007-02-06 2012-04-11 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP4790649B2 (ja) * 2007-03-16 2011-10-12 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5067068B2 (ja) 2007-08-17 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法及び記憶媒体
US8591661B2 (en) * 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
JP5298938B2 (ja) * 2009-02-24 2013-09-25 住友電気工業株式会社 半導体素子の製造方法
US8691701B2 (en) * 2009-05-08 2014-04-08 Lam Research Corporation Strip with reduced low-K dielectric damage
JP2011077322A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 結晶性珪素膜の成膜方法およびプラズマcvd装置
JP5642001B2 (ja) * 2011-03-25 2014-12-17 東京エレクトロン株式会社 プラズマエッチング方法
JP5877982B2 (ja) * 2011-09-22 2016-03-08 Sppテクノロジーズ株式会社 プラズマエッチング方法
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP2014053502A (ja) * 2012-09-07 2014-03-20 Toshiba Corp 半導体装置の製造方法
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
JP6041709B2 (ja) * 2013-03-05 2016-12-14 東京エレクトロン株式会社 金属層をエッチングする方法
JP6185305B2 (ja) 2013-06-28 2017-08-23 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
JP2015084396A (ja) * 2013-09-19 2015-04-30 東京エレクトロン株式会社 エッチング方法
US10297459B2 (en) * 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) * 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9887097B2 (en) * 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP6320282B2 (ja) * 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
JP6438831B2 (ja) * 2015-04-20 2018-12-19 東京エレクトロン株式会社 有機膜をエッチングする方法
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
JP6578145B2 (ja) * 2015-07-07 2019-09-18 東京エレクトロン株式会社 エッチング方法

Also Published As

Publication number Publication date
KR20190135413A (ko) 2019-12-06
US20190362984A1 (en) 2019-11-28
JP7022651B2 (ja) 2022-02-18
US11664236B2 (en) 2023-05-30
TWI811367B (zh) 2023-08-11
TW202004911A (zh) 2020-01-16
JP2019207911A (ja) 2019-12-05
US20210143019A1 (en) 2021-05-13
CN110544628A (zh) 2019-12-06
US10923360B2 (en) 2021-02-16

Similar Documents

Publication Publication Date Title
TWI811367B (zh) 膜之蝕刻方法及電漿處理裝置
TWI760555B (zh) 蝕刻方法
JP7336365B2 (ja) 膜をエッチングする方法及びプラズマ処理装置
KR102482619B1 (ko) 에칭 방법
JP7174634B2 (ja) 膜をエッチングする方法
US20190019685A1 (en) Etching method
JP6504827B2 (ja) エッチング方法
KR102538188B1 (ko) 플라즈마 처리 장치의 세정 방법
JP7071850B2 (ja) エッチング方法
JP7308110B2 (ja) シリコン酸化膜をエッチングする方法及びプラズマ処理装置
JP7296277B2 (ja) エッチングする方法、デバイス製造方法、及びプラズマ処理装置
JP7220603B2 (ja) 膜をエッチングする方法及びプラズマ処理装置
TWI833873B (zh) 膜之蝕刻方法