JP2024001464A - エッチング方法及びプラズマ処理装置 - Google Patents

エッチング方法及びプラズマ処理装置 Download PDF

Info

Publication number
JP2024001464A
JP2024001464A JP2022100139A JP2022100139A JP2024001464A JP 2024001464 A JP2024001464 A JP 2024001464A JP 2022100139 A JP2022100139 A JP 2022100139A JP 2022100139 A JP2022100139 A JP 2022100139A JP 2024001464 A JP2024001464 A JP 2024001464A
Authority
JP
Japan
Prior art keywords
gas
film
plasma
metal
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022100139A
Other languages
English (en)
Inventor
隆幸 勝沼
Takayuki Katsunuma
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2022100139A priority Critical patent/JP2024001464A/ja
Priority to TW112121346A priority patent/TW202405923A/zh
Priority to CN202310701815.1A priority patent/CN117276067A/zh
Priority to KR1020230079725A priority patent/KR20230175129A/ko
Priority to US18/212,747 priority patent/US20230420263A1/en
Publication of JP2024001464A publication Critical patent/JP2024001464A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】マスク上に所望の形状又は所望の特性を有する金属含有堆積物を形成できるエッチング方法及びプラズマ処理装置を提供する。【解決手段】エッチング方法は、(a)エッチング対象膜とエッチング対象膜上のマスクとを有する基板を提供する工程と、(b)(a)の後、金属含有ガスと水素含有ガスとを含む第1処理ガスから生成される第1プラズマにより、マスク上に金属含有堆積物を形成する工程と、(c)(b)の後、第1処理ガスとは異なる第2処理ガスから生成される第2プラズマにより、金属含有堆積物を変形又は改質する工程と、(d)(c)の後、エッチング対象膜をエッチングする工程と、を含む。【選択図】図3

Description

本開示の例示的実施形態は、エッチング方法及びプラズマ処理装置に関するものである。
特許文献1は、プラズマを用いて絶縁膜をエッチングする方法を開示する。この方法では、エッチング中に絶縁膜表面に導電層を形成しながらエッチングを行う。エッチングでは、WFとCとの混合ガスから生成されるプラズマが用いられる。
特開平9-50984号公報
本開示は、マスク上に所望の形状又は所望の特性を有する金属含有堆積物を形成できるエッチング方法及びプラズマ処理装置を提供する。
一つの例示的実施形態において、エッチング方法は、(a)エッチング対象膜と前記エッチング対象膜上のマスクとを有する基板を提供する工程と、(b)前記(a)の後、金属含有ガスと水素含有ガスとを含む第1処理ガスから生成される第1プラズマにより、前記マスク上に金属含有堆積物を形成する工程と、(c)前記(b)の後、前記第1処理ガスとは異なる第2処理ガスから生成される第2プラズマにより、前記金属含有堆積物を変形又は改質する工程と、(d)前記(c)の後、前記エッチング対象膜をエッチングする工程と、を含む。
一つの例示的実施形態によれば、マスク上に所望の形状又は所望の特性を有する金属含有堆積物を形成できるエッチング方法及びプラズマ処理装置が提供される。
図1は、一つの例示的実施形態に係るプラズマ処理装置を概略的に示す図である。 図2は、一つの例示的実施形態に係るプラズマ処理装置を概略的に示す図である。 図3は、一つの例示的実施形態に係るエッチング方法のフローチャートである。 図4は、図3の方法が適用され得る一例の基板の断面図である。 図5は、一つの例示的実施形態に係るエッチング方法の一工程を示す断面図である。 図6は、一つの例示的実施形態に係るエッチング方法の一工程を示す断面図である。 図7は、一つの例示的実施形態に係るエッチング方法の一工程を示す断面図である。 図8は、一つの例示的実施形態に係るエッチング方法の一工程を示す断面図である。 図9は、第1条件で第2プラズマにより変形された金属含有堆積物を含む一例の基板の断面図である。 図10は、第2条件で第2プラズマにより変形された金属含有堆積物を含む一例の基板の断面図である。 図11は、第3条件で第2プラズマにより変形された金属含有堆積物を含む一例の基板の断面図である。 図12は、第4条件で第2プラズマにより変形された金属含有堆積物を含む一例の基板の断面図である。 図13は、第1実験におけるタングステン含有堆積物の表面プロファイルの一例を示す図である。 図14は、第1実験におけるタングステン含有堆積物の厚みとアスペクト比との関係の一例を示すグラフである。 図15は、第2実験におけるタングステン含有堆積物の表面プロファイルの一例を示す図である。 図16は、第2実験におけるタングステン含有堆積物の厚みとアスペクト比との関係の一例を示すグラフである。 図17は、第3実験におけるタングステン含有堆積物の表面プロファイルの一例を示す図である。 図18は、第3実験におけるタングステン含有堆積物の厚みとアスペクト比との関係の一例を示すグラフである。 図19は、第4実験におけるタングステン含有堆積物の表面プロファイルの一例を示す図である。 図20は、第5実験におけるタングステン含有堆積物の表面プロファイルの一例を示す図である。
以下、種々の例示的実施形態1~19について説明する。
(実施形態1)
(a)エッチング対象膜と前記エッチング対象膜上のマスクとを有する基板を提供する工程と、
(b)前記(a)の後、金属含有ガスと水素含有ガスとを含む第1処理ガスから生成される第1プラズマにより、前記マスク上に金属含有堆積物を形成する工程と、
(c)前記(b)の後、前記第1処理ガスとは異なる第2処理ガスから生成される第2プラズマにより、前記金属含有堆積物を変形又は改質する工程と、
(d)前記(c)の後、前記エッチング対象膜をエッチングする工程と、
を含む、エッチング方法。
(実施形態2)
前記(d)は、前記第1処理ガス及び前記第2処理ガスとは異なる第3処理ガスから生成される第3プラズマにより、前記エッチング対象膜をエッチングする工程を含む、実施形態1に記載のエッチング方法。
(実施形態3)
前記水素含有ガスは、水素ガス、ハイドロカーボンガス及びハイドロフルオロカーボンガスのうち少なくとも1つを含む、実施形態1又は2に記載のエッチング方法。
(実施形態4)
前記第1処理ガスは貴ガスを含む、実施形態1~3のいずれか1つに記載のエッチング方法。
(実施形態5)
前記(b)において、前記基板を支持するための基板支持部の温度が0℃以上250℃以下である、実施形態1~4のいずれか1つに記載のエッチング方法。
(実施形態6)
前記金属含有ガスの流量に対する前記水素含有ガスの流量の比は2以上40以下である、実施形態1~5のいずれか1つに記載のエッチング方法。
(実施形態7)
前記第2処理ガスは、フルオロカーボンガス、ハイドロフルオロカーボンガス及びハロゲン含有ガスのうち少なくとも1つを含む、実施形態1~6のいずれか1つに記載のエッチング方法。
(実施形態8)
前記第2処理ガスは、Cガス、CHFガス及びCHFガスのうち少なくとも1つを含む、実施形態7に記載のエッチング方法。
(実施形態9)
前記第2処理ガスは、シリコンを含むハロゲン含有ガスを含む、実施形態7又は8に記載のエッチング方法。
(実施形態10)
前記第2処理ガスはNFガスを含む、実施形態7~9のいずれか1つに記載のエッチング方法。
(実施形態11)
前記(c)において、前記基板を支持するための基板支持部にバイアス電力が供給される、実施形態1~10のいずれか1つに記載のエッチング方法。
(実施形態12)
前記マスクは、シリコン含有膜、炭素含有膜及び金属含有膜のうち少なくとも1つを含む、実施形態1~11のいずれか1つに記載のエッチング方法。
(実施形態13)
前記マスクは開口を有し、前記開口のアスペクト比は1以上100以下である、実施形態1~12のいずれか1つに記載のエッチング方法。
(実施形態14)
前記エッチング対象膜は、シリコン含有膜及び炭素含有膜のうち少なくとも1つを含む、実施形態1~13のいずれか1つに記載のエッチング方法。
(実施形態15)
前記エッチング対象膜は、ホウ素を含むシリコン含有膜、シリコン膜、シリコン酸化膜及びシリコン窒化膜のうち少なくとも1つを含む、実施形態14に記載のエッチング方法。
(実施形態16)
前記(d)は、プラズマを用いずにガスを利用した化学的エッチングとウェットエッチングとのうち少なくとも1つにより、前記エッチング対象膜をエッチングする工程を含む、実施形態1~15のいずれか1つに記載のエッチング方法。
(実施形態17)
前記金属含有ガスは、タングステン、モリブデン及びジルコニウムのうち少なくとも1つを含む、実施形態1~16のいずれか1つに記載のエッチング方法。
(実施形態18)
(a)シリコン含有膜を含むエッチング対象膜と前記エッチング対象膜上のマスクとを有する基板を提供する工程と、
(b)前記(a)の後、六フッ化タングステンガスと水素含有ガスとを含む第1処理ガスから生成される第1プラズマにより、前記マスク上にタングステン含有堆積物を形成する工程であり、前記水素含有ガスは、水素ガス、ハイドロカーボンガス及びハイドロフルオロカーボンガスのうち少なくとも1つを含む、工程と、
(c)前記(b)の後、前記第1処理ガスとは異なる第2処理ガスから生成される第2プラズマにより、前記タングステン含有堆積物を変形又は改質する工程であり、前記第2処理ガスは、フルオロカーボンガス、ハイドロフルオロカーボンガス及びハロゲン含有ガスのうち少なくとも1つを含む、工程と、
(d)前記(c)の後、前記第1処理ガス及び前記第2処理ガスとは異なる第3処理ガスから生成される第3プラズマにより、前記エッチング対象膜をエッチングする工程と、
を含む、エッチング方法。
(実施形態19)
チャンバと、
前記チャンバ内において基板を支持するための基板支持部であり、前記基板は、エッチング対象膜と前記エッチング対象膜上のマスクとを有する、基板支持部と、
金属含有ガスと水素含有ガスとを含む第1処理ガスと前記第1処理ガスとは異なる第2処理ガスとを前記チャンバ内に供給するように構成されたガス供給部と、
前記チャンバ内で前記第1処理ガス及び前記第2処理ガスから第1プラズマ及び第2プラズマをそれぞれ生成するように構成されたプラズマ生成部と、
制御部と、
を備え、
前記制御部は、
前記第1プラズマにより、前記マスク上に金属含有堆積物を形成し、
前記金属含有堆積物を形成した後、前記第2プラズマにより、前記金属含有堆積物を変形又は改質し、
前記金属含有堆積物を変形又は改質した後、前記エッチング対象膜をエッチングするように、
前記ガス供給部及び前記プラズマ生成部を制御するように構成される、プラズマ処理装置。
以下、図面を参照して種々の例示的実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
図1は、プラズマ処理システムの構成例を説明するための図である。一実施形態において、プラズマ処理システムは、プラズマ処理装置1及び制御部2を含む。プラズマ処理システムは、基板処理システムの一例であり、プラズマ処理装置1は、基板処理装置の一例である。プラズマ処理装置1は、プラズマ処理チャンバ10、基板支持部11及びプラズマ生成部12を含む。プラズマ処理チャンバ10は、プラズマ処理空間を有する。また、プラズマ処理チャンバ10は、少なくとも1つの処理ガスをプラズマ処理空間に供給するための少なくとも1つのガス供給口と、プラズマ処理空間からガスを排出するための少なくとも1つのガス排出口とを有する。ガス供給口は、後述するガス供給部20に接続され、ガス排出口は、後述する排気システム40に接続される。基板支持部11は、プラズマ処理空間内に配置され、基板を支持するための基板支持面を有する。
プラズマ生成部12は、プラズマ処理空間内に供給された少なくとも1つの処理ガスからプラズマを生成するように構成される。プラズマ処理空間において形成されるプラズマは、容量結合プラズマ(CCP;CapacitivelyCoupled Plasma)、誘導結合プラズマ(ICP;Inductively Coupled Plasma)、ECRプラズマ(Electron-Cyclotron-resonance plasma)、ヘリコン波励起プラズマ(HWP:Helicon Wave Plasma)、又は、表面波プラズマ(SWP:Surface Wave Plasma)等であってもよい。また、AC(Alternating Current)プラズマ生成部及びDC(DirectCurrent)プラズマ生成部を含む、種々のタイプのプラズマ生成部が用いられてもよい。一実施形態において、ACプラズマ生成部で用いられるAC信号(AC電力)は、100kHz~10GHzの範囲内の周波数を有する。従って、AC信号は、RF(RadioFrequency)信号及びマイクロ波信号を含む。一実施形態において、RF信号は、100kHz~150MHzの範囲内の周波数を有する。
制御部2は、本開示において述べられる種々の工程をプラズマ処理装置1に実行させるコンピュータ実行可能な命令を処理する。制御部2は、ここで述べられる種々の工程を実行するようにプラズマ処理装置1の各要素を制御するように構成され得る。一実施形態において、制御部2の一部又は全てがプラズマ処理装置1に含まれてもよい。制御部2は、処理部2a1、記憶部2a2及び通信インターフェース2a3を含んでもよい。制御部2は、例えばコンピュータ2aにより実現される。処理部2a1は、記憶部2a2からプログラムを読み出し、読み出されたプログラムを実行することにより種々の制御動作を行うように構成され得る。このプログラムは、予め記憶部2a2に格納されていてもよく、必要なときに、媒体を介して取得されてもよい。取得されたプログラムは、記憶部2a2に格納され、処理部2a1によって記憶部2a2から読み出されて実行される。媒体は、コンピュータ2aに読み取り可能な種々の記憶媒体であってもよく、通信インターフェース2a3に接続されている通信回線であってもよい。処理部2a1は、CPU(Central Processing Unit)であってもよい。記憶部2a2は、RAM(Random Access Memory)、ROM(Read Only Memory)、HDD(Hard Disk Drive)、SSD(Solid State Drive)、又はこれらの組み合わせを含んでもよい。通信インターフェース2a3は、LAN(Local Area Network)等の通信回線を介してプラズマ処理装置1との間で通信してもよい。
以下に、プラズマ処理装置1の一例としての容量結合型のプラズマ処理装置の構成例について説明する。図2は、容量結合型のプラズマ処理装置の構成例を説明するための図である。
容量結合型のプラズマ処理装置1は、プラズマ処理チャンバ10、ガス供給部20、電源30及び排気システム40を含む。また、プラズマ処理装置1は、基板支持部11及びガス導入部を含む。ガス導入部は、少なくとも1つの処理ガスをプラズマ処理チャンバ10内に導入するように構成される。ガス導入部は、シャワーヘッド13を含む。基板支持部11は、プラズマ処理チャンバ10内に配置される。シャワーヘッド13は、基板支持部11の上方に配置される。一実施形態において、シャワーヘッド13は、プラズマ処理チャンバ10の天部(ceiling)の少なくとも一部を構成する。プラズマ処理チャンバ10は、シャワーヘッド13、プラズマ処理チャンバ10の側壁10a及び基板支持部11により規定されたプラズマ処理空間10sを有する。プラズマ処理チャンバ10は接地される。シャワーヘッド13及び基板支持部11は、プラズマ処理チャンバ10の筐体とは電気的に絶縁される。
基板支持部11は、本体部111及びリングアセンブリ112を含む。本体部111は、基板Wを支持するための中央領域111aと、リングアセンブリ112を支持するための環状領域111bとを有する。ウェハは基板Wの一例である。本体部111の環状領域111bは、平面視で本体部111の中央領域111aを囲んでいる。基板Wは、本体部111の中央領域111a上に配置され、リングアセンブリ112は、本体部111の中央領域111a上の基板Wを囲むように本体部111の環状領域111b上に配置される。従って、中央領域111aは、基板Wを支持するための基板支持面とも呼ばれ、環状領域111bは、リングアセンブリ112を支持するためのリング支持面とも呼ばれる。
一実施形態において、本体部111は、基台1110及び静電チャック1111を含む。基台1110は、導電性部材を含む。基台1110の導電性部材は下部電極として機能し得る。静電チャック1111は、基台1110の上に配置される。静電チャック1111は、セラミック部材1111aとセラミック部材1111a内に配置される静電電極1111bとを含む。セラミック部材1111aは、中央領域111aを有する。一実施形態において、セラミック部材1111aは、環状領域111bも有する。なお、環状静電チャックや環状絶縁部材のような、静電チャック1111を囲む他の部材が環状領域111bを有してもよい。この場合、リングアセンブリ112は、環状静電チャック又は環状絶縁部材の上に配置されてもよく、静電チャック1111と環状絶縁部材の両方の上に配置されてもよい。また、後述するRF電源31及び/又はDC電源32に結合される少なくとも1つのRF/DC電極がセラミック部材1111a内に配置されてもよい。この場合、少なくとも1つのRF/DC電極が下部電極として機能する。後述するバイアスRF信号及び/又はDC信号が少なくとも1つのRF/DC電極に供給される場合、RF/DC電極はバイアス電極とも呼ばれる。なお、基台1110の導電性部材と少なくとも1つのRF/DC電極とが複数の下部電極として機能してもよい。また、静電電極1111bが下部電極として機能してもよい。従って、基板支持部11は、少なくとも1つの下部電極を含む。
リングアセンブリ112は、1又は複数の環状部材を含む。一実施形態において、1又は複数の環状部材は、1又は複数のエッジリングと少なくとも1つのカバーリングとを含む。エッジリングは、導電性材料又は絶縁材料で形成され、カバーリングは、絶縁材料で形成される。
また、基板支持部11は、静電チャック1111、リングアセンブリ112及び基板のうち少なくとも1つをターゲット温度に調節するように構成される温調モジュールを含んでもよい。温調モジュールは、ヒータ、伝熱媒体、流路1110a、又はこれらの組み合わせを含んでもよい。流路1110aには、ブラインやガスのような伝熱流体が流れる。一実施形態において、流路1110aが基台1110内に形成され、1又は複数のヒータが静電チャック1111のセラミック部材1111a内に配置される。また、基板支持部11は、基板Wの裏面と中央領域111aとの間の間隙に伝熱ガスを供給するように構成された伝熱ガス供給部を含んでもよい。
シャワーヘッド13は、ガス供給部20からの少なくとも1つの処理ガスをプラズマ処理空間10s内に導入するように構成される。シャワーヘッド13は、少なくとも1つのガス供給口13a、少なくとも1つのガス拡散室13b、及び複数のガス導入口13cを有する。ガス供給口13aに供給された処理ガスは、ガス拡散室13bを通過して複数のガス導入口13cからプラズマ処理空間10s内に導入される。また、シャワーヘッド13は、少なくとも1つの上部電極を含む。なお、ガス導入部は、シャワーヘッド13に加えて、側壁10aに形成された1又は複数の開口部に取り付けられる1又は複数のサイドガス注入部(SGI:Side Gas Injector)を含んでもよい。
ガス供給部20は、少なくとも1つのガスソース21及び少なくとも1つの流量制御器22を含んでもよい。一実施形態において、ガス供給部20は、少なくとも1つの処理ガスを、それぞれに対応のガスソース21からそれぞれに対応の流量制御器22を介してシャワーヘッド13に供給するように構成される。各流量制御器22は、例えばマスフローコントローラ又は圧力制御式の流量制御器を含んでもよい。さらに、ガス供給部20は、少なくとも1つの処理ガスの流量を変調又はパルス化する少なくとも1つの流量変調デバイスを含んでもよい。
電源30は、少なくとも1つのインピーダンス整合回路を介してプラズマ処理チャンバ10に結合されるRF電源31を含む。RF電源31は、少なくとも1つのRF信号(RF電力)を少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に供給するように構成される。これにより、プラズマ処理空間10sに供給された少なくとも1つの処理ガスからプラズマが形成される。従って、RF電源31は、プラズマ生成部12の少なくとも一部として機能し得る。また、バイアスRF信号を少なくとも1つの下部電極に供給することにより、基板Wにバイアス電位が発生し、形成されたプラズマ中のイオン成分を基板Wに引き込むことができる。
一実施形態において、RF電源31は、第1のRF生成部31a及び第2のRF生成部31bを含む。第1のRF生成部31aは、少なくとも1つのインピーダンス整合回路を介して少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に結合され、プラズマ生成用のソースRF信号(ソースRF電力)を生成するように構成される。一実施形態において、ソースRF信号は、10MHz~150MHzの範囲内の周波数を有する。一実施形態において、第1のRF生成部31aは、異なる周波数を有する複数のソースRF信号を生成するように構成されてもよい。生成された1又は複数のソースRF信号は、少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に供給される。
第2のRF生成部31bは、少なくとも1つのインピーダンス整合回路を介して少なくとも1つの下部電極に結合され、バイアスRF信号(バイアスRF電力)を生成するように構成される。バイアスRF信号の周波数は、ソースRF信号の周波数と同じであっても異なっていてもよい。一実施形態において、バイアスRF信号は、ソースRF信号の周波数よりも低い周波数を有する。一実施形態において、バイアスRF信号は、100kHz~60MHzの範囲内の周波数を有する。一実施形態において、第2のRF生成部31bは、異なる周波数を有する複数のバイアスRF信号を生成するように構成されてもよい。生成された1又は複数のバイアスRF信号は、少なくとも1つの下部電極に供給される。また、種々の実施形態において、ソースRF信号及びバイアスRF信号のうち少なくとも1つがパルス化されてもよい。
また、電源30は、プラズマ処理チャンバ10に結合されるDC電源32を含んでもよい。DC電源32は、第1のDC生成部32a及び第2のDC生成部32bを含む。一実施形態において、第1のDC生成部32aは、少なくとも1つの下部電極に接続され、第1のDC信号を生成するように構成される。生成された第1のDC信号は、少なくとも1つの下部電極に印加される。一実施形態において、第2のDC生成部32bは、少なくとも1つの上部電極に接続され、第2のDC信号を生成するように構成される。生成された第2のDC信号は、少なくとも1つの上部電極に印加される。
種々の実施形態において、第1及び第2のDC信号がパルス化されてもよい。この場合、電圧パルスのシーケンスが少なくとも1つの下部電極及び/又は少なくとも1つの上部電極に印加される。電圧パルスは、矩形、台形、三角形又はこれらの組み合わせのパルス波形を有してもよい。一実施形態において、DC信号から電圧パルスのシーケンスを生成するための波形生成部が第1のDC生成部32aと少なくとも1つの下部電極との間に接続される。従って、第1のDC生成部32a及び波形生成部は、電圧パルス生成部を構成する。第2のDC生成部32b及び波形生成部が電圧パルス生成部を構成する場合、電圧パルス生成部は、少なくとも1つの上部電極に接続される。電圧パルスは、正の極性を有してもよく、負の極性を有してもよい。また、電圧パルスのシーケンスは、1周期内に1又は複数の正極性電圧パルスと1又は複数の負極性電圧パルスとを含んでもよい。なお、第1及び第2のDC生成部32a,32bは、RF電源31に加えて設けられてもよく、第1のDC生成部32aが第2のRF生成部31bに代えて設けられてもよい。
排気システム40は、例えばプラズマ処理チャンバ10の底部に設けられたガス排出口10eに接続され得る。排気システム40は、圧力調整弁及び真空ポンプを含んでもよい。圧力調整弁によって、プラズマ処理空間10s内の圧力が調整される。真空ポンプは、ターボ分子ポンプ、ドライポンプ又はこれらの組み合わせを含んでもよい。
図3は、一つの例示的実施形態に係るエッチング方法のフローチャートである。図3に示されるエッチング方法MT1(以下、「方法MT1」という)は、上記実施形態のプラズマ処理装置1により実行され得る。方法MT1は、基板Wに適用され得る。
図4は、図3の方法が適用され得る一例の基板の断面図である。図4に示されるように、一実施形態において、基板Wは、エッチング対象膜REとエッチング対象膜RE上のマスクMKとを有する。エッチング対象膜REは、下地膜UR上に設けられてもよい。マスクMKは少なくとも1つの開口OPを有してもよい。開口OPのアスペクト比は1以上100以下であってもよい。
エッチング対象膜REは、シリコン含有膜及び炭素含有膜のうち少なくとも1つを含んでもよい。シリコン含有膜は、ホウ素を含むシリコン含有膜、シリコン膜、シリコン酸化膜及びシリコン窒化膜のうち少なくとも1つを含んでもよい。シリコン含有膜は、シリコン酸化膜とシリコン窒化膜とが交互に積層された積層膜であってもよい。炭素含有膜は、アモルファスカーボン膜であってもよい。エッチング対象膜REは、例えばDRAM又は3D-NAND等のメモリデバイスのための膜であってもよい。
マスクMKは、シリコン含有膜、炭素含有膜及び金属含有膜のうち少なくとも1つを含んでもよい。シリコン含有膜は、ポリシリコン膜、シリコン酸化膜、シリコン窒化膜及びシリコン酸窒化膜のうち少なくとも1つを含んでもよい。炭素含有膜は、アモルファスカーボン膜及びフォトレジスト膜のうち少なくとも1つを含んでもよい。金属含有膜は、窒化チタン(TiN)膜、タングステンシリサイド(WSi)膜、タングステンシリコンナイトライド(WSi)膜、タングステンシリコンボロン(WSi)膜及びタングステンシリコンカーボン(WSi)膜のうち少なくとも1つを含んでもよい。組成比x、y及びxは0より大きい実数であってもよい。
下地膜URは、エッチング対象膜REと異なる材料を含んでもよい。下地膜URは、シリコン含有膜、炭素含有膜及び金属含有膜のうち少なくとも1つを含んでもよい。
以下、方法MT1について、方法MT1が上記実施形態のプラズマ処理装置1を用いて基板Wに適用される場合を例にとって、図3~図8を参照しながら説明する。図5~図8のそれぞれは、一つの例示的実施形態に係るエッチング方法の一工程を示す断面図である。プラズマ処理装置1が用いられる場合には、制御部2によるプラズマ処理装置1の各部の制御により、プラズマ処理装置1において方法MT1が実行され得る。方法MT1では、図2に示されるように、プラズマ処理チャンバ10内に配置された基板支持部11上の基板Wを処理する。
図3に示されるように、方法MT1は、工程ST1~工程ST5を含み得る。工程ST1~工程ST5は順に実行され得る。方法MT1は、工程ST5を含まなくてもよい。
(工程ST1)
工程ST1では、図4に示される基板Wを提供する。基板Wは、プラズマ処理チャンバ10内に提供され得る。基板Wは、プラズマ処理チャンバ10内において基板支持部11により支持され得る。下地膜URは、基板支持部11とエッチング対象膜REとの間に配置され得る。
(工程ST2)
工程ST2では、図5に示されるように、第1処理ガスから生成される第1プラズマPL1により、マスクMK上に金属含有堆積物DP1を形成する。金属含有堆積物DP1は、マスクMKの上面TPに形成されてもよい。金属含有堆積物DP1は、マスクMKの開口OPの側壁SD及び底部BTに形成されてもよい。マスクMKの上面TPにおける金属含有堆積物DP1の厚みは、マスクMKの開口OPの側壁SDにおける金属含有堆積物DP1の厚みより大きくてもよい。金属含有堆積物DP1は、タングステン含有堆積物、モリブデン含有堆積物及びジルコニウム含有堆積物のうち少なくとも1つを含んでもよい。金属含有堆積物DP1はフッ素を含んでもよい。金属含有堆積物DP1は、W-F結合を含んでもよい。
第1処理ガスは、金属含有ガスと水素含有ガスとを含む。金属含有ガスは、タングステン含有ガス、モリブデン含有ガス及びジルコニウム含有ガスのうち少なくとも1つを含んでもよい。タングステン含有ガスは、六フッ化タングステン(WF)ガス、六臭化タングステン(WBr)ガス、六塩化タングステン(WCl)ガス、WFClガス及びヘキサカルボニルタングステン(W(CO))ガスのうち少なくとも1つを含んでもよい。モリブデン含有ガスは、六フッ化モリブデン(MoF)ガスを含んでもよい。ジルコニウム含有ガスは、フッ化ジルコニウムガスを含んでもよい。水素含有ガスは、水素(H)ガス、ハイドロカーボンガス及びハイドロフルオロカーボンガスのうち少なくとも1つを含んでもよい。水素含有ガスは、水素ガス、CHガス、CHFガス、Cガス、Cガス及びCガスのうち少なくとも1つを含んでもよい。第1処理ガスは貴ガスを含んでもよい。貴ガスの例は、アルゴンを含む。
工程ST2において、基板Wを支持するための基板支持部11の温度は、0℃以上250℃以下であってもよいし、10℃以上120℃以下であってもよい。
金属含有ガスの流量に対する水素含有ガスの流量の比は2以上40以下であってもよいし、2以上20以下であってもよい。
工程ST2は以下のように行われ得る。まず、ガス供給部20により、第1処理ガスをプラズマ処理チャンバ10内に供給する。次に、プラズマ生成部12により、プラズマ処理チャンバ10内で第1処理ガスから第1プラズマPL1を生成する。制御部2は、第1プラズマPL1によりマスクMK上に金属含有堆積物DP1を形成するように、ガス供給部20及びプラズマ生成部12を制御する。
(工程ST3)
工程ST3では、図6に示されるように、第2処理ガスから生成される第2プラズマPL2により、金属含有堆積物DP1を変形又は改質する。これにより、変形又は改質された金属含有堆積物DP2が得られる。変形とは、金属含有堆積物の全部又は一部の形状を変えること、特に金属含有堆積物の少なくとも表面の形状(プロファイル)を変えることを意味する。改質とは、金属含有堆積物の全部又は一部の化学組成を変えること、特に金属含有堆積物の少なくとも表面の化学組成を変えることを意味する。金属含有堆積物DP1が変形される場合、金属含有堆積物DP2の表面プロファイルは金属含有堆積物DP1の表面プロファイルと異なる。金属含有堆積物DP2の表面における材料は、金属含有堆積物DP1の表面における材料と同じでもよい。金属含有堆積物DP1が改質される場合、金属含有堆積物DP2の表面における材料は、金属含有堆積物DP1の表面における材料と異なる。第2プラズマPL2中の活性種が金属含有堆積物DP1の表面における材料と反応することによって、金属含有堆積物DP2の表面における材料が得られる。金属含有堆積物DP1の表面の近傍が改質されてもよい。金属含有堆積物DP1がタングステンを含み、第2処理ガスが、シリコンを含むハロゲン含有ガスを含んでもよい。この場合、タングステンシリサイドを含む金属含有堆積物DP2が得られる。金属含有堆積物DP1がタングステンを含み、第2処理ガスが、フルオロカーボンガス及びハイドロフルオロカーボンガスのうち少なくとも1つを含んでもよい。この場合、タングステンカーバイドを含む金属含有堆積物DP2が得られる。金属含有堆積物DP1がタングステンを含み、第2処理ガスが、酸素含有ガスを含んでもよい。この場合、タングステン酸化物を含む金属含有堆積物DP2が得られる。金属含有堆積物DP2の表面プロファイルは、金属含有堆積物DP1の表面プロファイルと同じでもよいし、異なってもよい。
第2処理ガスは、第1処理ガスとは異なる。工程ST2と工程ST3との間において、第1処理ガスの供給が停止され、第2処理ガスの供給が開始されてもよい。第2処理ガスは、タングステンを含まなくてもよい。第2処理ガスは、炭素及びハロゲンのうち少なくとも1つを含んでもよい。第2処理ガスは、フルオロカーボンガス、ハイドロフルオロカーボンガス及びハロゲン含有ガスのうち少なくとも1つを含んでもよい。第2処理ガスは、Cガス、CHFガス及びCHFガスのうち少なくとも1つを含んでもよい。第2処理ガスは、シリコンを含むハロゲン含有ガスを含んでもよい。第2処理ガスは、シリコンを含む塩素含有ガスを含んでもよいし、シリコンを含むフッ素含有ガスを含んでもよい。第2処理ガスは、SiClガス、SiClガス及びSiFガスのうち少なくとも1つを含んでもよい。第2処理ガスは三フッ化窒素(NF)ガスを含んでもよい。第2処理ガスは、塩素含有ガスを含んでもよい。第2処理ガスは、塩素(Cl)ガスを含んでもよい。第2処理ガスは、不活性ガス及び酸素含有ガスのうち少なくとも1つを含んでもよい。不活性ガスの例は、貴ガス及び窒素ガスを含む。酸素含有ガスの例は酸素ガス及び一酸化炭素ガスを含む。
工程ST3において、基板Wを支持するための基板支持部11にバイアス電力が供給されてもよいし、供給されなくてもよい。工程ST3の処理時間は、工程ST2の処理時間より短くてもよい。工程ST3の処理時間は10秒以上200秒以下であってもよい。工程ST3において、基板Wを支持するための基板支持部11の温度は、10℃以上120℃以下であってもよく、30℃以上80℃以下であってもよい。
工程ST3は以下のように行われ得る。まず、ガス供給部20により、第2処理ガスをプラズマ処理チャンバ10内に供給する。次に、プラズマ生成部12により、プラズマ処理チャンバ10内で第2処理ガスから第2プラズマPL2を生成する。制御部2は、第2プラズマPL2により金属含有堆積物DP1を変形又は改質するように、ガス供給部20及びプラズマ生成部12を制御する。
工程ST3は、工程ST2のプラズマ処理チャンバ10と同じプラズマ処理チャンバ10内において行われてもよいし、工程ST2のプラズマ処理チャンバ10とは異なるプラズマ処理チャンバ内において行われてもよい。
(工程ST4)
工程ST4では、エッチング対象膜REをエッチングする。エッチング対象膜REに凹部RSが形成されてもよい。凹部RSはマスクMKの開口OPに対応する形状を有する。凹部RSの底部は、下地膜URに到達してもよいし、下地膜URに到達しなくてもよい。
工程ST4は、図7に示されるように、第3処理ガスから生成される第3プラズマPL3により、エッチング対象膜REをエッチングする工程を含んでもよい。第3処理ガスは、第1処理ガス及び第2処理ガスとは異なる。工程ST3と工程ST4との間において、第2処理ガスの供給が停止され、第3処理ガスの供給が開始されてもよい。
工程ST4は以下のように行われ得る。まず、ガス供給部20により、第3処理ガスをプラズマ処理チャンバ10内に供給する。次に、プラズマ生成部12により、プラズマ処理チャンバ10内で第3処理ガスから第3プラズマPL3を生成する。制御部2は、第3プラズマPL3によりエッチング対象膜REをエッチングするように、ガス供給部20及びプラズマ生成部12を制御する。
工程ST4は、図8に示されるように、プラズマを用いずにガスを利用した化学的エッチングとウェットエッチングとのうち少なくとも1つにより、エッチング対象膜REをエッチングする工程を含んでもよい。この場合、工程ST4は以下のように行われ得る。まず、基板Wをプラズマ処理チャンバ10から取り出す。次に、基板Wをウェットエッチング装置内に提供する。ウェットエッチング装置において、エッチャントによりエッチング対象膜REをエッチングする。
工程ST4は、工程ST2のプラズマ処理チャンバ10と同じプラズマ処理チャンバ10内において行われてもよいし、工程ST2のプラズマ処理チャンバ10とは異なるプラズマ処理チャンバ内において行われてもよい。工程ST4は、工程ST3のプラズマ処理チャンバと同じプラズマ処理チャンバ内において行われてもよいし、工程ST3のプラズマ処理チャンバとは異なるプラズマ処理チャンバ内において行われてもよい。例えば、工程ST2においてはCCP用チャンバを用い、工程ST3においてはICP用チャンバを用い、工程ST4においてはCCP用チャンバまたはICP用チャンバを用いてもよい。2以上のチャンバの間にさらに搬送モジュールが設けられ、該搬送モジュールが、その内部空間が減圧可能に構成された搬送チャンバを備えていてもよい。このような搬送モジュールを用いることによって、真空環境を破ることなくチャンバ間における基板Wの搬送が可能となる。
(工程ST5)
工程ST5では、終了条件が満たされるまで工程ST2~工程ST4を繰り返す。例えば、工程ST2~工程ST4を含む1サイクルの実行回数が閾値に到達した場合に工程ST5を終了してもよい。
上述のプラズマ処理装置1及び方法MT1によれば、マスクMK上に所望の形状又は所望の特性を有する金属含有堆積物DP2(図6参照)を形成できる。これにより、工程ST4において形成される凹部RSの形状を制御できる。
図9は、第1条件で第2プラズマにより変形された金属含有堆積物を含む一例の基板の断面図である。第1条件では、工程ST3において基板支持部11にバイアス電力が供給される。第2処理ガスは、Cガス、CHFガス及びCHFガスのうち少なくとも1つを含む。
図9に示されるように、第1条件では、図6の金属含有堆積物DP2の一例として、金属含有堆積物DP21が得られる。第1条件では、マスクMKの開口OPの側壁SDに金属含有堆積物が堆積する傾向にある。工程ST3の前において、マスクMKの上面TPにおける金属含有堆積物DP1の厚みは、マスクMKの開口OPの側壁SDにおける金属含有堆積物DP1の厚みより大きい。よって、工程ST3の後において、コンフォーマルに近い膜である金属含有堆積物DP21が得られる。金属含有堆積物DP21は、マスクMKの上面TP及び開口OPの側壁SDにおいて実質的に同じ厚みを有してもよい。
上記形状を有する金属含有堆積物DP21が得られるメカニズムは以下のように推定されるが、これに限定されない。工程ST3では、バイアス電力によってマスクMKの上面TPにおける金属含有堆積物DP1がスパッタリングされる。その後、スパッタリング粒子がマスクMKの開口OPの側壁SDに再付着する。これにより、マスクMKの上面TPにおける金属含有堆積物DP1が薄くなる一方、マスクMKの開口OPの側壁SDにおける金属含有堆積物DP1は厚くなる。その結果、上記形状を有する金属含有堆積物DP21が得られる。
図10は、第2条件で第2プラズマにより変形された金属含有堆積物を含む一例の基板の断面図である。第2条件では、工程ST3において基板支持部11にバイアス電力が供給されない。第2処理ガスは、Cガス、CHFガス及びCHFガスのうち少なくとも1つを含む。
図10に示されるように、第2条件では、図6の金属含有堆積物DP2の一例として、金属含有堆積物DP22が得られる。第2条件では、マスクMKの開口OPの側壁SDにおける金属含有堆積物がエッチングされ難く、増加もしない傾向にある。工程ST3の前において、マスクMKの上面TPにおける金属含有堆積物DP1の厚みは、マスクMKの開口OPの側壁SDにおける金属含有堆積物DP1の厚みより大きい。よって、工程ST3の後において、金属含有堆積物DP22は、マスクMKの上面TPにおいて第1厚みを有し、マスクMKの開口OPの側壁SDにおいて第1厚みよりも小さい第2厚みを有する。
上記形状を有する金属含有堆積物DP22が得られるメカニズムは以下のように推定されるが、これに限定されない。工程ST3では、基板支持部11にバイアス電力が供給されないので、マスクMKの上面TPにおける金属含有堆積物DP1のスパッタリングが生じ難い。その結果、上記形状を有する金属含有堆積物DP22が得られる。
図11は、第3条件で第2プラズマにより変形された金属含有堆積物を含む一例の基板の断面図である。第3条件では、第2処理ガスは、シリコンを含むハロゲン含有ガスを含む。
図11に示されるように、第3条件では、図6の金属含有堆積物DP2の一例として、金属含有堆積物DP23が得られる。第3条件では、マスクMKの開口OPの側壁SDにおける金属含有堆積物がエッチングされる傾向にある。一方、マスクMKの上面TP及び開口OPの底部BTにおける金属含有堆積物DP1はエッチングされ難い。そのため、工程ST3の後において、金属含有堆積物DP23は、マスクMKの開口OPの側壁SDにおいて厚みを有していない。
上記形状を有する金属含有堆積物DP23が得られるメカニズムは以下のように推定されるが、これに限定されない。工程ST3では、シリコン及びハロゲンを含む活性種がマスクMKの開口OPの側壁SDにおける金属含有堆積物DP1と反応する。例えば、側壁SDにおける金属含有堆積物DP1中のフッ素の組成比は、マスクMKの上面TPにおける金属含有堆積物DP1中のフッ素の組成比よりも大きい。この場合、シリコン及び塩素を含むラジカルがフッ素と反応することによって、側壁SDにおける金属含有堆積物DP1が選択的にエッチングされる。
図12は、第4条件で第2プラズマにより変形された金属含有堆積物を含む一例の基板の断面図である。第4条件では、第2処理ガスはNFガスを含む。
図12に示されるように、第4条件では、図6の金属含有堆積物DP2の一例として、金属含有堆積物DP24が得られる。第4条件では、金属含有堆積物DP24がマスクMKの上面TPに形成され難い傾向にある。
上記形状を有する金属含有堆積物DP24が得られるメカニズムは以下のように推定されるが、これに限定されない。工程ST3では、マスクMKの上面TPにおける金属含有堆積物DP1がエッチングされる。第4条件では、第2処理ガスに含まれる炭素の含有割合が小さいので、マスクMKの上面TPに炭素含有堆積物が形成され難い。そのため、マスクMKの上面TPにおける金属含有堆積物DP1のエッチング量が大きくなる。一方、マスクMKの開口OPの側壁SD及び底部BTにおける金属含有堆積物DP1はエッチングされ難い。その結果、上記形状を有する金属含有堆積物DP24が得られる。
工程ST3において、図9~図12に示される第1条件~第4条件のうち2以上の条件が組み合わされてもよい。これにより、金属含有堆積物の形状制御の自由度が向上する。
以上、種々の例示的実施形態について説明してきたが、上述した例示的実施形態に限定されることなく、様々な追加、省略、置換、及び変更がなされてもよい。また、異なる実施形態における要素を組み合わせて他の実施形態を形成することが可能である。例えば、上述した実施形態1~17のいずれか1つに記載のエッチング方法を含む半導体装置の製造方法も、本開示に含まれる。
以下、方法MT1の評価のために行った種々の実験について説明する。以下に説明する実験は、本開示を限定するものではない。
(第1実験)
第1実験では、シリコン膜及びシリコン膜上のマスクを有する基板を準備した。マスクは開口を有するシリコン酸化膜である。次に、プラズマ処理装置のプラズマ処理チャンバ内に基板を提供した。
次に、WFガスとArガスとHガスとを含む第1処理ガスから生成される第1プラズマにより、マスク上にタングステン含有堆積物を形成した。タングステン含有堆積物は、マスクの上面、マスクの開口の側壁及び底壁に形成された。
次に、Cガス、Arガス及びOガスを含む第2処理ガスから生成される第2プラズマに基板を60秒間又は120秒間晒した。プラズマ処理装置として容量結合プラズマ処理装置を用いた。基板支持部にバイアス電力を印加した。このようにして、タングステン含有堆積物を変形した。
(第1実験結果)
図13は、第1実験におけるタングステン含有堆積物の表面プロファイルの一例を示す図である。プロファイルPR0は、基板の断面におけるマスクの表面プロファイルを示す。プロファイルPR0は、マスクの上面、マスクの開口の側壁及び底部を示す。プロファイルPR1~PR3は、基板の断面におけるタングステン含有堆積物の表面プロファイルを示す。プロファイルPR1は、第1プラズマにより形成されたタングステン含有堆積物の表面を示す。プロファイルPR2は、第2プラズマに基板を60秒間晒した後における変形されたタングステン含有堆積物の表面を示す。プロファイルPR3は、第2プラズマに基板を120秒間晒した後における変形されたタングステン含有堆積物の表面を示す。
図13に示されるように、プロファイルPR2及びPR3では、プロファイルPR1に比べて、マスクの開口の側壁におけるタングステン含有堆積物の厚みが大きくなっている。プロファイルPR2及びPR3では、プロファイルPR1に比べて、マスクの上面におけるタングステン含有堆積物の厚みが小さくなっている。プロファイルPR2は、タングステン含有堆積物がコンフォーマルに近い膜であることを示す。プロファイルPR3では、マスクの開口の底部におけるタングステン含有堆積物の厚みがゼロである。
図13から、第1実験の第2プラズマに基板を晒すことによって、マスクの開口の側壁にタングステン含有堆積物を形成しながら、マスクの上面及びマスクの開口の底部におけるタングステン含有堆積物をエッチングできることが分かる。
図14は、第1実験におけるタングステン含有堆積物の厚みとアスペクト比との関係の一例を示すグラフである。グラフの縦軸は、マスクの開口の底部におけるタングステン含有堆積物の規格化された厚み(nm)を示す。規格化された厚みは、マスクの上面におけるタングステン含有堆積物の厚みに対するマスクの開口の底部におけるタングステン含有堆積物の厚みの比である。グラフの横軸は、マスクの開口のアスペクト比を示す。プロットDA1は、図13のプロファイルPR1において算出された厚みを示す。プロットDA2は、図13のプロファイルPR2において算出された厚みを示す。プロットDA3は、図13のプロファイルPR3において算出された厚みを示す。
図14から、第1実験の第2プラズマに基板を晒す時間を長くするに連れて、マスクの開口の底部におけるタングステン含有堆積物の規格化された厚みが減少することが分かる。また、アスペクト比が増加するに連れて、マスクの開口の底部におけるタングステン含有堆積物の規格化された厚みが減少することが分かる。これは、マスクのアスペクト比が高い場合、第1プラズマによりタングステン含有堆積物を形成する際に、第1プラズマ中の活性種がマスクの開口の底部まで到達し難くなるからであると考えられる。
(第2実験)
タングステン含有堆積物を変形する工程以外は第1実験と同じように第2実験を行った。第2実験では、CHFガス、CHFガス、NFガス、Nガス及びArガスを含む第2処理ガスから生成される第2プラズマに基板を30秒間又は60秒間晒した。プラズマ処理装置として誘導結合プラズマ処理装置を用いた。基板支持部にバイアス電力を印加しなかった。このようにして、タングステン含有堆積物を変形した。
(第2実験結果)
図15は、第2実験におけるタングステン含有堆積物の表面プロファイルの一例を示す図である。プロファイルPR0及びPR1は、図13のプロファイルPR0及びPR1と同じである。プロファイルPR12は、基板の断面において、第2プラズマに基板を30秒間晒した後における変形されたタングステン含有堆積物の表面を示す。プロファイルPR13は、基板の断面において、第2プラズマに基板を60秒間晒した後における変形されたタングステン含有堆積物の表面を示す。
図15に示されるように、プロファイルPR12及びPR13では、プロファイルPR1に比べて、マスクの上面及びマスクの開口の底部におけるタングステン含有堆積物の厚みが小さくなっている。一方、プロファイルPR1、PR12及びPR13において、マスクの開口の側壁におけるタングステン含有堆積物の厚みは殆ど変化していない。
図15から、第2実験の第2プラズマに基板を晒すことによって、マスクの開口の側壁におけるタングステン含有堆積物のエッチングを抑制しながら、マスクの上面及びマスクの開口の底部におけるタングステン含有堆積物をエッチングできることが分かる。
図16は、第2実験におけるタングステン含有堆積物の厚みとアスペクト比との関係の一例を示すグラフである。グラフの縦軸及び横軸は、図14のグラフの縦軸及び横軸と同じである。プロットDA1は、図15のプロファイルPR1において算出された厚みを示す。プロットDA12は、図15のプロファイルPR12において算出された厚みを示す。プロットDA13は、図15のプロファイルPR13において算出された厚みを示す。
図16から、第2実験の第2プラズマに基板を晒す時間を長くするに連れて、マスクの開口の底部におけるタングステン含有堆積物の規格化された厚みが減少することが分かる。また、アスペクト比が増加するに連れて、マスクの開口の底部におけるタングステン含有堆積物の規格化された厚みが減少することが分かる。これは、マスクのアスペクト比が高い場合、第1プラズマによりタングステン含有堆積物を形成する際に、第1プラズマ中の活性種がマスクの開口の底部まで到達し難くなるからであると考えられる。
(第3実験)
タングステン含有堆積物を変形する工程以外は第1実験と同じように第3実験を行った。第3実験では、SiClガス、Clガス及びArガスを含む第2処理ガスから生成される第2プラズマに基板を60秒間晒した。プラズマ処理装置として誘導結合プラズマ処理装置を用いた。基板支持部にバイアス電力を印加しなかった。このようにして、タングステン含有堆積物を変形した。
(第3実験結果)
図17は、第3実験におけるタングステン含有堆積物の表面プロファイルの一例を示す図である。プロファイルPR0及びPR1は、図13のプロファイルPR0及びPR1と同じである。プロファイルPR22は、基板の断面において、変形されたタングステン含有堆積物の表面を示す。
図17に示されるように、プロファイルPR22では、プロファイルPR1に比べて、マスクの開口の側壁におけるタングステン含有堆積物の厚みが小さくなっている。一方、プロファイルPR1及びPR22において、マスクの上面及びマスクの開口の底部におけるタングステン含有堆積物の厚みは殆ど変化していない。
図17から、第3実験の第2プラズマに基板を晒すことによって、マスクの上面及びマスクの開口の底部におけるタングステン含有堆積物のエッチングを抑制しながら、マスクの開口の側壁におけるタングステン含有堆積物をエッチングできることが分かる。
図18は、第3実験におけるタングステン含有堆積物の厚みとアスペクト比との関係の一例を示すグラフである。グラフの縦軸及び横軸は、図14のグラフの縦軸及び横軸と同じである。プロットDA1は、図17のプロファイルPR1において算出された厚みを示す。プロットDA22は、図17のプロファイルPR22において算出された厚みを示す。
図18から、第3実験の第2プラズマに基板を晒しても、マスクの開口の底部におけるタングステン含有堆積物の規格化された厚みは殆ど変わらないことが分かる。また、アスペクト比が増加するに連れて、マスクの開口の底部におけるタングステン含有堆積物の規格化された厚みが減少することが分かる。これは、マスクのアスペクト比が高い場合、第1プラズマによりタングステン含有堆積物を形成する際に、第1プラズマ中の活性種がマスクの開口の底部まで到達し難くなるからであると考えられる。
(第4実験)
タングステン含有堆積物を変形する工程以外は第1実験と同じように第4実験を行った。第4実験では、Clガス、NFガス、Arガス及びOガスを含む第2処理ガスから生成される第2プラズマに基板を60秒間晒した。プラズマ処理装置として誘導結合プラズマ処理装置を用いた。基板支持部にバイアス電力を印加しなかった。このようにして、タングステン含有堆積物を変形した。
(第4実験結果)
図19は、第4実験におけるタングステン含有堆積物の表面プロファイルの一例を示す図である。プロファイルPR0及びPR1は、図13のプロファイルPR0及びPR1と同じである。プロファイルPR32は、基板の断面において、変形されたタングステン含有堆積物の表面を示す。
図19に示されるように、プロファイルPR32では、プロファイルPR1に比べて、マスクの上面におけるタングステン含有堆積物の厚みが小さくなっている。一方、プロファイルPR1及びPR32において、マスクの開口の側壁及び底部におけるタングステン含有堆積物の厚みは殆ど変化していない。
図19から、第4実験の第2プラズマに基板を晒すことによって、マスクの開口の側壁及び底部におけるタングステン含有堆積物のエッチングを抑制しながら、マスクの上面におけるタングステン含有堆積物をエッチングできることが分かる。
(第5実験)
タングステン含有堆積物を変形する工程以外は第1実験と同じように第5実験を行った。第5実験では、第3実験におけるタングステン含有堆積物を変形する工程と第2実験におけるタングステン含有堆積物を変形する工程との両方を行った。すなわち、SiClガス、Clガス及びArガスを含む第2処理ガスから生成される第2プラズマに基板を晒した。その後、CHFガス、CHFガス、NFガス、Nガス及びArガスを含む第2処理ガスから生成される第2プラズマに基板を晒した。プラズマ処理装置として誘導結合プラズマ処理装置を用いた。基板支持部にバイアス電力を印加しなかった。このようにして、タングステン含有堆積物を変形した。
(第5実験結果)
図20は、第5実験におけるタングステン含有堆積物の表面プロファイルの一例を示す図である。プロファイルPR0及びPR1は、図13のプロファイルPR0及びPR1と同じである。プロファイルPR42は、基板の断面において、変形されたタングステン含有堆積物の表面を示す。
図20に示されるように、プロファイルPR42では、プロファイルPR1に比べて、マスクの開口の側壁及び底部におけるタングステン含有堆積物の厚みが小さくなっている。プロファイルPR42では、マスクの上面におけるタングステン含有堆積物の厚みがあまり小さくなっていない。
図20から、第5実験の第2プラズマに基板を晒すことによって、マスクの上面におけるタングステン含有堆積物のエッチングを抑制しながら、マスクの開口の側壁及び底部におけるタングステン含有堆積物をエッチングできることが分かる。
以上の説明から、本開示の種々の実施形態は、説明の目的で本明細書で説明されており、本開示の範囲及び主旨から逸脱することなく種々の変更をなし得ることが、理解されるであろう。したがって、本明細書に開示した種々の実施形態は限定することを意図しておらず、真の範囲と主旨は、添付の特許請求の範囲によって示される。
1…プラズマ処理装置、2…制御部、10…プラズマ処理チャンバ、11…基板支持部、12…プラズマ生成部、20…ガス供給部、DP1,DP2,DP21,DP22,DP23,DP24…金属含有堆積物、MK…マスク、PL1…第1プラズマ、PL2…第2プラズマ、RE…エッチング対象膜、W…基板。

Claims (19)

  1. (a)エッチング対象膜と前記エッチング対象膜上のマスクとを有する基板を提供する工程と、
    (b)前記(a)の後、金属含有ガスと水素含有ガスとを含む第1処理ガスから生成される第1プラズマにより、前記マスク上に金属含有堆積物を形成する工程と、
    (c)前記(b)の後、前記第1処理ガスとは異なる第2処理ガスから生成される第2プラズマにより、前記金属含有堆積物を変形又は改質する工程と、
    (d)前記(c)の後、前記エッチング対象膜をエッチングする工程と、
    を含む、エッチング方法。
  2. 前記(d)は、前記第1処理ガス及び前記第2処理ガスとは異なる第3処理ガスから生成される第3プラズマにより、前記エッチング対象膜をエッチングする工程を含む、請求項1に記載のエッチング方法。
  3. 前記水素含有ガスは、水素ガス、ハイドロカーボンガス及びハイドロフルオロカーボンガスのうち少なくとも1つを含む、請求項1又は2に記載のエッチング方法。
  4. 前記第1処理ガスは貴ガスを含む、請求項1又は2に記載のエッチング方法。
  5. 前記(b)において、前記基板を支持するための基板支持部の温度が0℃以上250℃以下である、請求項1又は2に記載のエッチング方法。
  6. 前記金属含有ガスの流量に対する前記水素含有ガスの流量の比は2以上40以下である、請求項1又は2に記載のエッチング方法。
  7. 前記第2処理ガスは、フルオロカーボンガス、ハイドロフルオロカーボンガス及びハロゲン含有ガスのうち少なくとも1つを含む、請求項1又は2に記載のエッチング方法。
  8. 前記第2処理ガスは、Cガス、CHFガス及びCHFガスのうち少なくとも1つを含む、請求項7に記載のエッチング方法。
  9. 前記第2処理ガスは、シリコンを含むハロゲン含有ガスを含む、請求項7に記載のエッチング方法。
  10. 前記第2処理ガスはNFガスを含む、請求項7に記載のエッチング方法。
  11. 前記(c)において、前記基板を支持するための基板支持部にバイアス電力が供給される、請求項1又は2に記載のエッチング方法。
  12. 前記マスクは、シリコン含有膜、炭素含有膜及び金属含有膜のうち少なくとも1つを含む、請求項1又は2に記載のエッチング方法。
  13. 前記マスクは開口を有し、前記開口のアスペクト比は1以上100以下である、請求項1又は2に記載のエッチング方法。
  14. 前記エッチング対象膜は、シリコン含有膜及び炭素含有膜のうち少なくとも1つを含む、請求項1又は2に記載のエッチング方法。
  15. 前記エッチング対象膜は、ホウ素を含むシリコン含有膜、シリコン膜、シリコン酸化膜及びシリコン窒化膜のうち少なくとも1つを含む、請求項14に記載のエッチング方法。
  16. 前記(d)は、プラズマを用いずにガスを利用した化学的エッチングとウェットエッチングとのうち少なくとも1つにより、前記エッチング対象膜をエッチングする工程を含む、請求項1又は2に記載のエッチング方法。
  17. 前記金属含有ガスは、タングステン、モリブデン及びジルコニウムのうち少なくとも1つを含む、請求項1又は2に記載のエッチング方法。
  18. (a)シリコン含有膜を含むエッチング対象膜と前記エッチング対象膜上のマスクとを有する基板を提供する工程と、
    (b)前記(a)の後、六フッ化タングステンガスと水素含有ガスとを含む第1処理ガスから生成される第1プラズマにより、前記マスク上にタングステン含有堆積物を形成する工程であり、前記水素含有ガスは、水素ガス、ハイドロカーボンガス及びハイドロフルオロカーボンガスのうち少なくとも1つを含む、工程と、
    (c)前記(b)の後、前記第1処理ガスとは異なる第2処理ガスから生成される第2プラズマにより、前記タングステン含有堆積物を変形又は改質する工程であり、前記第2処理ガスは、フルオロカーボンガス、ハイドロフルオロカーボンガス及びハロゲン含有ガスのうち少なくとも1つを含む、工程と、
    (d)前記(c)の後、前記第1処理ガス及び前記第2処理ガスとは異なる第3処理ガスから生成される第3プラズマにより、前記エッチング対象膜をエッチングする工程と、
    を含む、エッチング方法。
  19. チャンバと、
    前記チャンバ内において基板を支持するための基板支持部であり、前記基板は、エッチング対象膜と前記エッチング対象膜上のマスクとを有する、基板支持部と、
    金属含有ガスと水素含有ガスとを含む第1処理ガスと前記第1処理ガスとは異なる第2処理ガスとを前記チャンバ内に供給するように構成されたガス供給部と、
    前記チャンバ内で前記第1処理ガス及び前記第2処理ガスから第1プラズマ及び第2プラズマをそれぞれ生成するように構成されたプラズマ生成部と、
    制御部と、
    を備え、
    前記制御部は、
    前記第1プラズマにより、前記マスク上に金属含有堆積物を形成し、
    前記金属含有堆積物を形成した後、前記第2プラズマにより、前記金属含有堆積物を変形又は改質し、
    前記金属含有堆積物を変形又は改質した後、前記エッチング対象膜をエッチングするように、
    前記ガス供給部及び前記プラズマ生成部を制御するように構成される、プラズマ処理装置。

JP2022100139A 2022-06-22 2022-06-22 エッチング方法及びプラズマ処理装置 Pending JP2024001464A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2022100139A JP2024001464A (ja) 2022-06-22 2022-06-22 エッチング方法及びプラズマ処理装置
TW112121346A TW202405923A (zh) 2022-06-22 2023-06-08 蝕刻方法及電漿處理裝置
CN202310701815.1A CN117276067A (zh) 2022-06-22 2023-06-14 蚀刻方法和等离子体处理装置
KR1020230079725A KR20230175129A (ko) 2022-06-22 2023-06-21 에칭 방법 및 플라즈마 처리 장치
US18/212,747 US20230420263A1 (en) 2022-06-22 2023-06-22 Etching method and plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2022100139A JP2024001464A (ja) 2022-06-22 2022-06-22 エッチング方法及びプラズマ処理装置

Publications (1)

Publication Number Publication Date
JP2024001464A true JP2024001464A (ja) 2024-01-10

Family

ID=89205115

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022100139A Pending JP2024001464A (ja) 2022-06-22 2022-06-22 エッチング方法及びプラズマ処理装置

Country Status (5)

Country Link
US (1) US20230420263A1 (ja)
JP (1) JP2024001464A (ja)
KR (1) KR20230175129A (ja)
CN (1) CN117276067A (ja)
TW (1) TW202405923A (ja)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0950984A (ja) 1995-08-07 1997-02-18 Hitachi Ltd 表面処理方法

Also Published As

Publication number Publication date
KR20230175129A (ko) 2023-12-29
US20230420263A1 (en) 2023-12-28
TW202405923A (zh) 2024-02-01
CN117276067A (zh) 2023-12-22

Similar Documents

Publication Publication Date Title
JP6529357B2 (ja) エッチング方法
TW201705428A (zh) 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
US11462412B2 (en) Etching method
CN110544628A (zh) 对膜进行蚀刻的方法和等离子体处理装置
KR20190079565A (ko) 에칭 방법
WO2022244678A1 (ja) 基板処理方法及び基板処理装置
JP7403314B2 (ja) エッチング方法及びエッチング装置
CN115148593A (zh) 蚀刻方法和蚀刻处理装置
JP2024001464A (ja) エッチング方法及びプラズマ処理装置
TW201937593A (zh) 電漿蝕刻方法及電漿蝕刻裝置
WO2023214521A1 (ja) プラズマ処理方法及びプラズマ処理装置
JP7250895B2 (ja) エッチング方法及びプラズマ処理装置
WO2023058582A1 (ja) エッチング方法及びエッチング装置
WO2023008025A1 (ja) エッチング方法、半導体装置の製造方法、エッチングプログラムおよびプラズマ処理装置
US20240234097A1 (en) Etching method and plasma processing apparatus
TWI843909B (zh) 電漿處理方法及電漿處理設備
US20240213031A1 (en) Etching method and plasma processing apparatus
US20230377851A1 (en) Etching method and plasma processing apparatus
WO2023234214A1 (ja) エッチング方法及びプラズマ処理装置
JP7309799B2 (ja) エッチング方法及びプラズマ処理装置
WO2024180921A1 (ja) エッチング方法及びプラズマ処理装置
WO2024043239A1 (ja) エッチング方法及びプラズマ処理装置
WO2023233673A1 (ja) エッチング方法及びプラズマ処理装置
US20240258116A1 (en) Systems and methods for titanium-containing film removal
JP2024064179A (ja) エッチング方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240312