CN101241859A - 等离子体蚀刻方法和装置、控制程序和计算机存储介质 - Google Patents

等离子体蚀刻方法和装置、控制程序和计算机存储介质 Download PDF

Info

Publication number
CN101241859A
CN101241859A CNA2008100086933A CN200810008693A CN101241859A CN 101241859 A CN101241859 A CN 101241859A CN A2008100086933 A CNA2008100086933 A CN A2008100086933A CN 200810008693 A CN200810008693 A CN 200810008693A CN 101241859 A CN101241859 A CN 101241859A
Authority
CN
China
Prior art keywords
gas
plasma
etching
etching method
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008100086933A
Other languages
English (en)
Other versions
CN101241859B (zh
Inventor
昆泰光
早川欣延
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101241859A publication Critical patent/CN101241859A/zh
Application granted granted Critical
Publication of CN101241859B publication Critical patent/CN101241859B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种等离子体蚀刻方法等,该方法当隔着在有机膜的上层形成的由含硅膜构成的掩模对有机膜进行等离子体蚀刻时,能够抑制在有机膜的侧壁部分发生弯曲、下陷情况,能够得到良好的蚀刻形状。将图形化的SiON膜(103)作为掩模,进行有机膜(102)的等离子体蚀刻,形成开口(108)。在该有机膜(102)等离子体蚀刻中,使用由含有含氧(O)气体、稀有气体、碳氟化合物气体(CF系气体)的混合气体构成的处理气体。

Description

等离子体蚀刻方法和装置、控制程序和计算机存储介质
技术领域
本发明涉及隔着由含硅膜构成的掩模并利用处理气体的等离子体对在被处理基板上形成的有机膜进行蚀刻的等离子体蚀刻方法、等离子体蚀刻装置、控制程序和计算机存储介质。
背景技术
在现有技术中,在半导体装置的制造工序中,隔着抗蚀剂掩模进行蚀刻处理,使硅氧化膜等的被蚀刻膜等形成希望的图案。另外,公知有在这样的等离子体蚀刻中,通过使用多层抗蚀剂掩模,而能够进一步高精度地进行微细加工的技术。
在使用上述那样的多层抗蚀剂掩模的等离子体蚀刻工序中,得知利用处理气体的等离子体,对作为构成多层抗蚀剂掩模的下层抗蚀剂的有机膜,隔着在其上层形成的由含硅膜构成的掩模进行等离子体蚀刻。在这样的等离子体蚀刻工序中,作为处理气体,可知使用例如O2气体的单独气体、O2气体和N2气体的混合气体,O2气体和CO气体的混合气体、O2气体和CH4气体的混合气体等。另外,在这样的等离子体蚀刻工序中,可知作为处理气体使用O2气体和稀有气体的混合气体(例如参照专利文献1)。
专利文献1:日本特开2004-296991号公报
在使用上述那样的多层抗蚀剂掩模的等离子体蚀刻工序中,因为将有机膜化学蚀刻,所以存在有机膜的侧壁部分的形状难以成为垂直状态,有机膜的侧壁部分被过剩蚀刻而弯曲发生所谓的弧状弯曲(bowing)、掩模的下侧部分被过剩蚀刻发生所谓的下陷(底切(undercut))的问题。
发明内容
本发明是鉴于上述情况而完成的,其目的在于提供一种当对有机膜隔着在其上层形成的由含硅膜构成的掩模进行等离子体蚀刻时,能够抑制在有机膜的侧壁部分发生弯曲或者下陷,能够得到良好蚀刻形状的等离子体蚀刻方法、等离子体蚀刻装置、控制程序和计算机存储介质。
本发明第一方面的等离子体蚀刻方法,隔着由含硅膜构成的掩模并利用处理气体的等离子体对在被处理基板上形成的有机膜进行蚀刻,该等离子体蚀刻方法的特征在于:上述处理气体由含有含氧气体、稀有气体、碳氟化合物气体的混合气体构成。
本发明第二方面的等离子体蚀刻方法,其特征在于:在第一方面的等离子体蚀刻方法中,上述含氧气体是由O2气体、CO气体、CO2气体中的任一种气体构成的单独气体或者由这些气体组合构成的混合气体。
本发明第三方面的等离子体蚀刻方法,其特征在于:在第二方面的等离子体蚀刻方法中,上述含氧气体为O2气体的单独气体,上述碳氟化合物气体相对于O2气体的流量比例为1%~10%。
本发明第四方面的等离子体蚀刻方法,其特征在于:在本发明第三方面的等离子体蚀刻方法中,上述碳氟化合物气体为C4F6气体,C4F6气体相对于O2气体的流量比例为5%~10%。
本发明第五方面的等离子体蚀刻方法,其特征在于:在本发明第一方面~第三方面中任一方面所述的等离子体蚀刻方法中,上述碳氟化合物气体为选自C4F6气体、C4F8气体、C3F8气体、C2F6气体、CF4气体、C5F8气体以及C6F6气体中的任一种。
本发明第六方面的等离子体蚀刻方法,其特征在于:在本发明第一方面~第五方面中任一方面所述的等离子体蚀刻方法中,上述含硅膜为选自SiON膜、SiN膜、SiO2膜、SiC膜、SiOC膜以及SiOCH膜中的任一种。
本发明第七方面的等离子体蚀刻装置,其特征在于,包括:收容被处理基板的处理腔室;向上述处理腔室内供给处理气体的处理气体供给单元;使从上述处理气体供给单元供给的上述处理气体等离子体化来对上述被处理基板进行处理的等离子体生成单元;和在上述处理腔室内以进行第一方面~第六方面中任一方面所述的等离子体蚀刻方法的方式进行控制的控制部。
本发明第八方面的控制程序,其特征在于:该控制程序在计算机上运行,并且在执行时以进行第一方面~第六方面中任一方面所述的等离子体蚀刻方法的方式控制等离子体蚀刻装置。
本发明第九方面的计算机存储介质,其特征在于:该计算机存储介质存储有在计算机上运行的控制程序,上述控制程序在执行时以进行第一方面~第六方面中任一方面所述的等离子体蚀刻方法的方式控制等离子体蚀刻装置。
根据本发明,能够提供一种当隔着在有机膜的上层形成的由含硅膜构成的掩模对有机膜进行等离子体蚀刻时,能够抑制在有机膜的侧壁部分发生弯曲或下陷,能够得到良好的蚀刻形状的等离子体蚀刻方法、等离子体蚀刻装置、控制程序和计算机存储介质。
附图说明
图1为表示本发明等离子体蚀刻方法的实施方式所涉及的半导体晶片的截面结构的图。
图2为表示本发明实施方式所涉及的等离子体蚀刻装置的概略结构图。
图3为比较并模式表示实施例和比较例的蚀刻形状的图。
符号说明
W半导体晶片
101硅氧化膜
102有机膜
103 SiON膜
104 O-ARC膜
105 ArF光致抗蚀剂膜
106、107、108开口
具体实施方式
以下,参照附图对本发明的实施方式进行说明。图1为扩大表示本实施方式所涉及的等离子体蚀刻方法的作为被处理基板的半导体晶片的截面结构图。另外,图2为表示本实施方式所涉及的等离子体蚀刻装置的结构图。首先,参照图2,对等离子体蚀刻装置的结构进行说明。
等离子体蚀刻装置具有气密(气体密封)构成的并且成为电气接地电位的处理腔室1。该处理腔室1呈圆筒状,例如由铝等构成。在处理腔室1内设置有水平支撑作为被处理基板的半导体晶片W的载置台2。载置台2例如由铝等构成,其通过绝缘板3而被支撑在导体的支撑台4上。另外,在载置台2的上方的外周设置有例如由单晶硅形成的聚焦环5。此外,以包围载置台2和支撑台4的周围的方式设置有例如由石英等构成的圆筒状的内壁部件3a。
在载置台2上,经由第一匹配器11a连接有第一RF电源10a,另外,经由第二匹配器11b连接有第二RF电源10b。第一RF电源10a为等离子体形成用的电源,从该第一RF电源10a向载置台2供给规定频率(27MHz以上例如40MHz)的高频电力。另外,第二RF电源10b为离子引入用的电源,从该第二RF电源10b向载置台2供给频率比第一RF电源10a的频率低的规定频率(13.56MHz以下,例如2MHz)的高频电力。另一方面,在载置台2的上方以与载置台2平行相对的方式设置有喷头16,载置台2和喷头16作为一对电极发挥作用。
在载置台2的上面设置有用于静电吸附半导体晶片W的静电卡盘6。该静电卡盘6构成为介于绝缘体6b之间具有电极6a,在电极6a上连接有直流电源12。此外,构成为通过从直流电源12向电极6a施加直流电压,利用库伦力来吸附半导体晶片W。
在支撑台4的内部形成有制冷剂流路4a,在制冷剂流路4a上连接有制冷剂入口配管4b、制冷剂出口配管4c。然后,通过使合适的制冷剂、例如冷却水等在制冷剂流路4a的内部循环,而能够将支撑台4和载置台2控制在规定的温度。另外,以贯通载置台2等的方式设置有用于向半导体晶片W的背面侧供给氦气体等的冷热传递用气体(背侧气体(backside gas))的背侧气体供给配管30,该背侧气体供给配管30与图中未示的背侧气体供给源连接。通过这些构成,能够将通过静电卡盘6吸附保持在载置台2上面的半导体晶片W控制在规定的温度。
上述喷头16设置在处理腔室1的顶壁部分上。喷头16具备本体部16a和成为电极板的上部顶板16b,通过绝缘性部件45支撑在处理腔室1的上部。本体部16a由导电性材料、例如表面被阳极氧化处理的铝构成,以上部顶板16b能够装卸自由地支撑在其下部的方式构成。
在本体部16a的内部设置有气体扩散室16c,以位于该气体扩散室16c的下部的方式,在本体部16a的底部形成有多个气体流通孔16d。另外,在上部顶板16b上,以与上述气体流通孔16d重叠的方式设置有在厚度方向贯通该上述顶板16b的气体导入孔16e。通过这样的构成,供给到气体扩散室16c的处理气体,通过气体流通孔16d和气体导入孔16e呈喷淋状地分散供给到处理腔室1内。此外,在本体部16a等上设置有用于使制冷剂循环的图中未示的配管,在等离子体蚀刻中,能够将喷头16冷却到规定温度。
在上述本体部16a上形成有用于向气体扩散室16c导入处理气体的气体导入口16d。在该气体导入口16d上连接有气体供给配管15a,在该气体供给配管15a的另一端连接有用于供给蚀刻用处理气体(蚀刻气体)的处理气体供给源15。在气体供给配管15a上从上游侧开始依次设置有质量流量控制器(MFC)15b和开闭阀V1。而且,从处理气体供给源15,将作为等离子体蚀刻用的处理气体,例如O2/Xe/C4F6等的混合气体,通过供给配管15a供给到气体扩散室16c,从该气体扩散室16c,通过气体流通孔16d和气体导入孔16e呈喷淋状地分散供给到处理腔室1内。
在作为上述上部电极的喷头16上,经由低通滤波器(LPF)51电气连接有可变直流电源52。该可变直流电源52能够通过开·闭开关53进行供电的开·闭。通过后述的控制部60对可变直流电源52的电流·电压及开·闭开关53的开·闭进行控制。此外,如后述那样,在从第一RF电源10a、第二RF电源10b向载置台2供给高频使处理空间内发生等离子体时,通过控制部60接通开·闭开关53,向作为上部电极的喷头16供给规定的直流负电压。
以从处理腔室1的侧壁向与喷头16的高度位置相比的上方延伸的方式设置圆筒状的接地导体1a。该圆筒状的接地导体1a,在其上部具有顶壁。
在处理腔室1的底部形成有排气口71,在该排气口71上通过排气管72连接有排气装置73。排气装置73具有真空泵,通过使该真空泵动作而能够使处理腔室1内减压至规定的真空度。另一方面,在处理腔室1的侧壁上设置有晶片W的搬入搬出口74,在该搬入搬出口74上设置有开闭该搬入搬出口74的闸阀75。
图中76、77为装卸自由的沉积物屏蔽(デポシ一ルド)。沉积物屏蔽76沿着处理腔室1的内壁面设置,具有防止蚀刻副产物(沉积物)附着在处理腔室1的作用,在该沉积物屏蔽76的与半导体晶片W大致相同的高度位置上设置有DC接地的导电性部件(GND块(block))79,由此能够防止异常放电。
上述结构的等离子体蚀刻装置,通过控制部60总体控制其动作。在该控制部60上设置有具备CPU的对等离子体蚀刻装置的各部分进行控制的程序控制器61、用户界面62、存储部63。
用户界面62由工序管理者为了管理等离子体蚀刻装置而进行指令输入操作的键盘、可视化表示等离子体蚀刻装置的运转状况的显示器等构成。
在存储部63内收纳有用于通过程序控制器61的控制实现由等离子体蚀刻装置执行的各种处理的控制程序(软件)、存储有处理条件数据等的方案。而且,根据需要,通过来自用户界面62的指示等从存储部63调用任意的方案,并在程序控制器61中运行,由此,能够在程序控制器61的控制下,在等离子体蚀刻装置中进行希望的处理。另外,作为控制程序、处理条件数据等的方案,可以利用贮存在计算机能够读取的计算机存储介质(例如硬盘、CD、软盘、半导体存储器等)等中的状态的方案,或者是从其它的装置例如通过专用线路随时传送以在线方式利用的方案。
在这样构成的等离子体蚀刻装置中,对在半导体晶片W上形成的有机膜等进行等离子体蚀刻的次序进行说明。首先,打开闸阀75,将半导体晶片W通过图中未示的搬送机械设备(机械臂)等,通过图中未示的负载锁定室从搬入搬出口74搬入到处理腔室1内,并将其载置在载置台2上。之后,使搬送机械设备退避到处理腔室1外,关闭闸阀75。然后,利用排气装置73的真空泵通过排气口71对处理腔室1内进行排气。
在处理腔室1内成为规定的真空度之后,从处理气体供给源15向处理腔室1内导入规定的处理气体(蚀刻气体),将处理腔室1内保持规定的压力,例如1.33Pa(10mTorr),在该状态下从第一RF电源10a向载置台2供给频率为例如40MHz的高频电力。另外,为了离子引入,从第二RF电源10b向载置台2供给频率为例如2.0MHz的高频电力。此时,从直流电源12向静电卡盘6的电极6a施加规定的直流电压,利用库伦力吸附半导体晶片W。
在该情况下,通过如上述那样向作为下部电极的载置台2施加高频电力,在作为上部电极的喷头16和作为下部电极的载置台2之间形成电场。在半导体晶片W存在的处理空间内发生放电,通过由此形成的处理气体的等离子体,对在半导体晶片W上形成的有机膜等进行蚀刻处理。
在此,如上所述,因为在等离子体处理中能够向喷头16施加直流电压,所以具有如下的效果。即,例如在将无机膜作为掩模对有机膜进行蚀刻的情况等的工艺中,要求等离子体为高电子密度并且低离子能量。如果例如100MHz左右的RF电源作为等离子体发生用的RF电源则能够实现这种情况,但由于装置规模变大,所以优选频率较低。但是,如果降低频率想要得到高电子密度而增大功率的情况下,离子能量也增大。因此,如果使用如上述那样的直流电压,则通过抑制打进半导体晶片W的离子能量的同时增大等离子体的电子密度,使得在成为半导体晶片W的蚀刻对象的膜的蚀刻率上升的同时,向设置在蚀刻对象的上部的成为掩模的膜的溅射率降低。
然后,如果上述蚀刻处理结束,则停止高频电力的供给和处理气体的供给,以与上述次序相反的次序,将半导体晶片W从处理腔室1内搬出。
接着,参照图1,对本实施方式所涉及的半导体装置的制造方法进行说明。图1(a)~图(c)为扩大表示作为本实施方式所涉及的被处理基板的半导体晶片W的主要部分结构图。如图1(a)所示,在半导体晶片W上形成有作为被蚀刻膜的例如硅氧化膜101,在该硅氧化膜101的上层,作为用于构成多层抗蚀剂的膜,从下侧开始依次形成有作为下层抗蚀剂的有机膜102(厚度例如为400nm)、作为含硅膜的SiON膜103(厚度例如为45nm)、O-ARC膜(反射防止膜)104(厚度例如为25nm)、作为上层抗蚀剂的例如ArF光致抗蚀剂膜105(厚度例如为60nm)。在ArF光致抗蚀剂膜105上形成有通过精密照相复制工序而图形化的规定形状的开口106。
将上述结构的半导体晶片W容纳在图2所示的装置的处理腔室1内,并载置在载置台2上,从图1(a)所示的状态,将ArF光致抗蚀剂膜105设为掩模,对O-ARC膜104和SiON膜103进行蚀刻,并且形成开口107成为图1(b)的状态。此外,在O-ARC膜104和SiON膜103的蚀刻结束时,ArF光致抗蚀剂膜105成为很薄地残留的状态。
接着,从图1(b)的状态,对有机膜102进行等离子体蚀刻,并形成开口108,成为图1(c)的状态。在该等离子体蚀刻时,很薄地残留的ArF光致抗蚀剂膜105和O-ARC膜104被蚀刻而消失,最终如上所述,以被图形化的SiON膜103作为掩模,进行有机膜102的等离子体蚀刻。在现有技术中,在对该有机膜102进行等离子体蚀刻时,使用上述的O2气体的单独气体等。在本实施方式中,在该有机膜102的等离子体蚀刻中,使用由含有含氧(O)气体、稀有气体、碳氟化合物气体(CF系气体)的混合气体构成的处理气体。
作为实施例1,使用图2所示的等离子体蚀刻装置,并通过以下所示的方案,在图1所示结构的半导体晶片上实施上述有机膜102的等离子体蚀刻处理工序。
此外,以下所示的实施例1的处理方案,是从控制部60的存储部63读出,并被读入到程序控制器61,通过程序控制器61根据控制程序对等离子体蚀刻装置的各部分进行控制,来执行按照读出的处理方案那样的等离子体蚀刻处理工序。
(O-ARC膜和SiON膜的蚀刻)
处理气体:CF4/N2/O2=150/75/5sccm
压力:13.3Pa(100mTorr)
高频电力:(40MHz/2MHz):1000/0W
直流电压:-300V
(有机膜的蚀刻)
处理气体:O2/Xe/C4F6=125/125/10sccm
压力:1.33Pa(10mTorr)
高频电力:(40MHz/2MHz):1400/0W
直流电压:0V
在上述实施例1中,通过电子显微镜观察进行完等离子体蚀刻的半导体晶片W,能够确认出蚀刻成没有弯曲和下陷(底切)的良好状态的侧壁形状。此外,在图3(a)中模式地表示此时的蚀刻形状。
接着,作为实施例2,仅变更上述实施例1的处理气体流量,按照以下的条件进行有机膜的等离子体蚀刻。此外,O-ARC膜和SiON膜的蚀刻条件与实施例1相同。
处理气体:O2/Xe/C4F6=200/50/10sccm
通过电子显微镜观察进行完上述实施例2的等离子体蚀刻的半导体晶片W,能够确认出蚀刻成与上述实施例1相比仅有少许瑕疵的、并且弯曲、下陷较少的良好的侧壁形状。此外,在图3(b)中模式地表示此时的蚀刻形状。
另一方面,作为比较例1~3,在上述的实施例中,在仅如以下那样变更处理气体的方面不同的条件下,进行上述有机膜的等离子体蚀刻处理工序。此外,O-ARC膜和SiON膜的蚀刻条件与实施例1相同。
(比较例1)
处理气体:O2=250sccm
(比较例2)
处理气体:O2/C4F6=250/10sccm
(比较例3)
处理气体:O2/Xe=125/125sccm
在上述实施例1~3中,通过电子显微镜观察进行完等离子体蚀刻的半导体晶片W,与上述实施例1、2相比,发生弯曲、下陷的情况比较显著,成为侧壁形状不好的状态。此外,在图3(c)~3(e)中模式地表示出此时的蚀刻形状。
如上所述,在上述实施例1、2中,与比较例1~3的情况相比,能够抑制弯曲、下陷的发生,能够蚀刻成良好的侧壁形状。此外,在将上述实施例1、2的Xe气体变更成作为其它稀有气体的Ar气体进行等离子体蚀刻的情况下,也能够得到与上述实施例1、2大致相同的结果。
在上述实施例1中,C4F6气体流量相对于蚀刻有机膜的处理气体的O2气体流量的比(C4F6气体流量/O2气体流量)为8%,在上述实施例2中,其为5%。而且,如上所述,实施例1与实施例2相比,侧壁部的蚀刻形状良好。因此,优选碳氟化合物气体流量相对于处理气体的O2气体流量的比增大为某种程度。
但是,如果碳氟化合物气体流量相对于处理气体的O2气体流量的比增大,则有机膜相对于作为掩模的含硅膜(SiON膜等)的选择比(有机膜的蚀刻率/含硅膜的蚀刻率)降低。实际上,在测定相对作为含硅膜的1种的硅氧化膜的选择比时,有机膜相对于实施例1的情况的硅氧化膜的选择比(有机膜的蚀刻率/硅氧化膜的蚀刻率)约为11.4,在实施例2中为17.0。另外,例如使用CF4气体作为碳氟化合物气体的情况与使用C4F6气体的情况相比,有必要减少碳氟化合物气体的添加量。因此,优选将碳氟化合物气体流量相对于处理气体的O2气体流量的比设为1%~10%左右。另外,如实施例1、2那样,在使用C4F6气体作为碳氟化合物气体的情况下,优选将C4F6气体流量相对于O2气体流量的比(C4F6气体流量/O2气体流量)设为5%~10%左右。另外,作为成为有机膜蚀刻的掩模的含硅膜,除SiON膜以外,还有例如SiN膜、SiO2膜、SiC膜、SiOC膜、SiOCH膜等,即使在这些的任何一种的情况下,也同样能够适用本发明。另外,作为碳氟化合物气体,除C4F6气体以外,还能够使用例如C4F8气体、C3F8气体、C2F6气体、CF4气体、C5F8气体、C6F6气体等。此外,在上述实施例1、2中,虽然使用碳氟化合物气体(CF气体),但推测也能够使用CHF系气体。
另外,在上述实施例1、2中,虽然使用O2气体的单独气体作为含氧气体,但也能够使用O2气体、CO气体、CO2气体的单独气体和它们的混合气体作为含氧气体。使用CO气体或CO2气体的情况与使用O2气体的情况相比,有机膜的蚀刻率降低,同时对硅氧化膜的选择比(有机膜的蚀刻率/硅氧化膜的蚀刻率)降低。例如,在以O2气体的单独气体(流量:250sccm,压力:1.33Pa(10mTorr),高频电力:(40MHz/2MHz):1400/0W)蚀刻有机膜的情况下,有机膜的蚀刻率为807nm/min,对硅氧化膜的选择比为78.8。与此相对,在以O2气体和CO气体的混合气体(流量:O2/CO=125/125sccm,压力:1.33Pa(10mTorr),高频电力:(40MHz/2MHz):1400/0W)蚀刻有机膜的情况下,有机膜的蚀刻率为572nm/min,对硅氧化膜的选择比为30.3。因此,优选在使用CO气体或CO2气体的情况与使用O2气体的情况相比,碳氟化合物气体的添加量减少。
按照以上说明的那样,根据本实施方式,当隔着在其上层形成的由含硅膜构成的掩模对有机膜进行等离子体蚀刻时,能够抑制在有机膜的侧壁部分发生弯曲、下陷,能够得到良好的蚀刻形状。此外,本发明并不局限于上述实施方式,能够对其进行各种变形。例如,等离子体蚀刻装置并不限定于图2所示的平行平板型的下部双频、上部直流施加型,除上下双频施加型的等离子体蚀刻装置和下部单频施加型的等离子体蚀刻装置等以外,还能够使用各种的等离子体蚀刻装置。

Claims (9)

1.一种等离子体蚀刻方法,该方法隔着由含硅膜构成的掩模并利用处理气体的等离子体对在被处理基板上形成的有机膜进行蚀刻,该等离子体蚀刻方法的特征在于:
所述处理气体由含有含氧气体、稀有气体、碳氟化合物气体的混合气体构成。
2.如权利要求1所述的等离子体蚀刻方法,其特征在于:
所述含氧气体是由O2气体、CO气体、CO2气体中的任一种气体构成的单独气体或者由这些气体组合构成的混合气体。
3.如权利要求2所述的等离子体蚀刻方法,其特征在于:
所述含氧气体为O2气体的单独气体,所述碳氟化合物气体相对于O2气体的流量比例为1%~10%。
4.如权利要求3所述的等离子体蚀刻方法,其特征在于:
所述碳氟化合物气体为C4F6气体,C4F6气体相对于O2气体的流量比例为5%~10%。
5.如权利要求1~3中任一项所述的等离子体蚀刻方法,其特征在于:
所述碳氟化合物气体为选自C4F6气体、C4F8气体、C3F8气体、C2F6气体、CF4气体、C5F8气体以及C6F6气体中的任一种。
6.如权利要求1~5中任一项所述的等离子体蚀刻方法,其特征在于:
所述含硅膜为选自SiON膜、SiN膜、SiO2膜、SiC膜、SiOC膜以及SiOCH膜中的任一种。
7.一种等离子体蚀刻装置,其特征在于,包括:
收容被处理基板的处理腔室;
向所述处理腔室内供给处理气体的处理气体供给单元;
使从所述处理气体供给单元供给的所述处理气体等离子体化来对所述被处理基板进行处理的等离子体生成单元;和
在所述处理腔室内以进行权利要求1~6中任一项所述的等离子体蚀刻方法的方式进行控制的控制部。
8.一种控制程序,其特征在于:
该控制程序在计算机上运行,并且在执行时以进行权利要求1~6中任一项所述的等离子体蚀刻方法的方式控制等离子体蚀刻装置。
9.一种计算机存储介质,其特征在于:
该计算机存储介质存储有在计算机上运行的控制程序,
所述控制程序在执行时以进行权利要求1~6中任一项所述的等离子体蚀刻方法的方式控制等离子体蚀刻装置。
CN2008100086933A 2007-02-06 2008-02-05 等离子体蚀刻方法和装置、控制程序和计算机存储介质 Expired - Fee Related CN101241859B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007026880 2007-02-06
JP2007-026880 2007-02-06
JP2007026880A JP4912907B2 (ja) 2007-02-06 2007-02-06 プラズマエッチング方法及びプラズマエッチング装置

Publications (2)

Publication Number Publication Date
CN101241859A true CN101241859A (zh) 2008-08-13
CN101241859B CN101241859B (zh) 2010-04-14

Family

ID=39675275

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008100086933A Expired - Fee Related CN101241859B (zh) 2007-02-06 2008-02-05 等离子体蚀刻方法和装置、控制程序和计算机存储介质

Country Status (5)

Country Link
US (1) US8216485B2 (zh)
JP (1) JP4912907B2 (zh)
KR (1) KR100924853B1 (zh)
CN (1) CN101241859B (zh)
TW (1) TWI446436B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102760646A (zh) * 2011-04-28 2012-10-31 朗姆研究公司 对于具有三层掩膜的蚀刻线弯曲和倾斜的预防
CN109390229A (zh) * 2017-08-14 2019-02-26 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
CN110034021A (zh) * 2018-01-11 2019-07-19 东京毅力科创株式会社 蚀刻方法和蚀刻装置
CN110544628A (zh) * 2018-05-28 2019-12-06 东京毅力科创株式会社 对膜进行蚀刻的方法和等离子体处理装置

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8419960B2 (en) 2008-07-11 2013-04-16 Tokyo Electron Limited Plasma processing apparatus and method
KR101360876B1 (ko) 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치
JP5486883B2 (ja) * 2009-09-08 2014-05-07 東京エレクトロン株式会社 被処理体の処理方法
JP5606060B2 (ja) * 2009-12-24 2014-10-15 東京エレクトロン株式会社 エッチング方法及びエッチング処理装置
US8501630B2 (en) * 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
CN102208333A (zh) * 2011-05-27 2011-10-05 中微半导体设备(上海)有限公司 等离子体刻蚀方法
US8603921B2 (en) * 2011-07-25 2013-12-10 Applied Materials, Inc. Maintaining mask integrity to form openings in wafers
JP6008771B2 (ja) * 2013-01-21 2016-10-19 東京エレクトロン株式会社 多層膜をエッチングする方法
KR101951456B1 (ko) * 2018-01-23 2019-05-20 영창케미칼 주식회사 반도체 제조 공정에 있어서 미세 실리콘 패턴을 형성하기 위한 신규 식각방법
US20240038494A1 (en) 2020-09-01 2024-02-01 Tokyo Electron Limited Etching method and etching apparatus

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW411491B (en) * 1997-04-28 2000-11-11 Shibaura Mechatronics Corp Vacuum processing method and apparatus
JPH11330046A (ja) * 1998-05-08 1999-11-30 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP2001077086A (ja) * 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd 半導体装置のドライエッチング方法
JP3485504B2 (ja) * 1999-09-09 2004-01-13 沖電気工業株式会社 半導体装置のドライエッチング方法
JP2001102449A (ja) * 1999-10-01 2001-04-13 Hitachi Ltd デュアルダマシンエッチング方法及びそれを用いた半導体の製造方法
JP3403374B2 (ja) * 2000-05-26 2003-05-06 松下電器産業株式会社 有機膜のエッチング方法、半導体装置の製造方法及びパターンの形成方法
JP2002270586A (ja) * 2001-03-08 2002-09-20 Tokyo Electron Ltd 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス
JP2002289594A (ja) 2001-03-28 2002-10-04 Nec Corp 半導体装置およびその製造方法
JP2003243361A (ja) * 2002-02-14 2003-08-29 Tokyo Electron Ltd プラズマエッチング方法
KR100464416B1 (ko) * 2002-05-14 2005-01-03 삼성전자주식회사 증가된 유효 채널 길이를 가지는 반도체 소자의 제조 방법
JP2004296991A (ja) 2003-03-28 2004-10-21 Sony Corp 多層レジストマスクの形成方法及びこれを用いた半導体装置の製造方法
JP2005026348A (ja) 2003-06-30 2005-01-27 Tokyo Electron Ltd プラズマ処理方法
JP4727171B2 (ja) 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
JP2005191254A (ja) * 2003-12-25 2005-07-14 Fujitsu Ltd 半導体装置の製造方法
JP4397337B2 (ja) * 2005-03-16 2010-01-13 Okiセミコンダクタ株式会社 半導体装置の製造方法
JP4586626B2 (ja) * 2005-05-17 2010-11-24 ソニー株式会社 エッチング方法および半導体装置の製造方法
JP4652140B2 (ja) * 2005-06-21 2011-03-16 東京エレクトロン株式会社 プラズマエッチング方法、制御プログラム、コンピュータ記憶媒体
US7842190B2 (en) * 2006-03-28 2010-11-30 Tokyo Electron Limited Plasma etching method

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102760646A (zh) * 2011-04-28 2012-10-31 朗姆研究公司 对于具有三层掩膜的蚀刻线弯曲和倾斜的预防
CN102760646B (zh) * 2011-04-28 2016-01-06 朗姆研究公司 对于具有三层掩膜的蚀刻线弯曲和倾斜的预防
CN109390229A (zh) * 2017-08-14 2019-02-26 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
CN109390229B (zh) * 2017-08-14 2023-07-11 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
CN110034021A (zh) * 2018-01-11 2019-07-19 东京毅力科创株式会社 蚀刻方法和蚀刻装置
CN110034021B (zh) * 2018-01-11 2023-06-09 东京毅力科创株式会社 蚀刻方法和蚀刻装置
CN110544628A (zh) * 2018-05-28 2019-12-06 东京毅力科创株式会社 对膜进行蚀刻的方法和等离子体处理装置

Also Published As

Publication number Publication date
JP4912907B2 (ja) 2012-04-11
TW200847270A (en) 2008-12-01
KR100924853B1 (ko) 2009-11-02
US20080185364A1 (en) 2008-08-07
CN101241859B (zh) 2010-04-14
KR20080073647A (ko) 2008-08-11
TWI446436B (zh) 2014-07-21
JP2008192906A (ja) 2008-08-21
US8216485B2 (en) 2012-07-10

Similar Documents

Publication Publication Date Title
CN101241859B (zh) 等离子体蚀刻方法和装置、控制程序和计算机存储介质
CN101521158B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
US9865472B2 (en) Fabrication of a silicon structure and deep silicon etch with profile control
CN101826435B (zh) 等离子蚀刻方法及等离子蚀刻装置
CN102169823B (zh) 半导体装置的制造方法和等离子体蚀刻装置
US9177823B2 (en) Plasma etching method and plasma etching apparatus
CN1992164B (zh) 等离子体蚀刻方法
CN102194686B (zh) 等离子体蚀刻方法
CN100521111C (zh) 等离子体蚀刻方法
CN101692423B (zh) 等离子体蚀刻方法
CN100487861C (zh) 等离子体蚀刻方法和等离子体蚀刻装置
CN100565790C (zh) 等离子体处理方法及等离子体处理装置
CN102651336A (zh) 等离子体蚀刻方法和半导体装置的制造方法
KR20150104043A (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
CN101471257B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
CN104576355B (zh) 等离子体处理方法
TWI525692B (zh) Plasma etching method, control program and computer memory media
JP2019145780A (ja) プラズマエッチング方法及びプラズマエッチング装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100414