CN102651336A - 等离子体蚀刻方法和半导体装置的制造方法 - Google Patents

等离子体蚀刻方法和半导体装置的制造方法 Download PDF

Info

Publication number
CN102651336A
CN102651336A CN2012100484123A CN201210048412A CN102651336A CN 102651336 A CN102651336 A CN 102651336A CN 2012100484123 A CN2012100484123 A CN 2012100484123A CN 201210048412 A CN201210048412 A CN 201210048412A CN 102651336 A CN102651336 A CN 102651336A
Authority
CN
China
Prior art keywords
gas
etching
work procedure
etching work
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012100484123A
Other languages
English (en)
Other versions
CN102651336B (zh
Inventor
中川显
大塚雄二
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102651336A publication Critical patent/CN102651336A/zh
Application granted granted Critical
Publication of CN102651336B publication Critical patent/CN102651336B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供能够形成高纵横比的接触孔并能够抑制涂层蚀刻工序中的最小间隔的急剧减少的等离子体蚀刻方法和半导体装置的制造方法。本发明是在形成于蚀刻停止层上的氧化硅膜上形成孔的等离子体蚀刻方法,其包括:对氧化硅膜进行蚀刻的主蚀刻工序;和在主蚀刻工序之后,在蚀刻停止层至少一部分露出的状态下进行的蚀刻工序,在所述蚀刻停止层至少一部分露出的状态下进行的蚀刻工序包括多次反复交替地进行第一蚀刻工序和第二蚀刻工序的工序,第一蚀刻工序使处理气体为C4F6气体、Ar气体和O2气体的混合气体,第二蚀刻工序使处理气体为C4F8气体、Ar气体和O2气体的混合气体,或者为C3F8气体、Ar气体和O2气体的混合气体。

Description

等离子体蚀刻方法和半导体装置的制造方法
技术领域
本发明涉及等离子体蚀刻方法和半导体装置的制造方法。
背景技术
一直以来,在半导体装置的制造工序中,使用使等离子体作用于配置于处理腔室内的基板(例如半导体晶片)进行蚀刻的等离子体蚀刻方法。例如,在半导体装置的制造工序中,在二氧化硅膜形成接触孔的等情况中,使用该等离子体蚀刻方法。此外,在接触孔中,被要求高纵横比的接触孔(HARC(High Aspect Ratio Contact),将侧壁形状维持于垂直并形成这样的接触孔是比较困难的。
在这样的等离子体蚀刻方法中,已知有反复交替执行使具有高堆积性的气体条件的等离子体作用形成保护膜的期间和使具有低堆积行的气体条件的等离子体作用进行蚀刻的期间,边将侧壁形状维持于垂直边形成这样的接触孔的技术(例如,参照专利文献1)。
现有技术文献
专利文献1:日本特表2006-523030号公报
发明内容
发明想要解决的问题
如上所述,在在半导体装置的制造工序中,要求高纵横比的接触孔,通过等离子体蚀刻,将侧壁形状维持为垂直,并形成高纵横比的接触孔比较困难。
另外,本发明者等进行了详细调查,了解到当形成上述那样的高纵横比的接触孔时,发生以下那样的问题。即,当在能够蚀刻高纵横比的接触孔的主蚀刻工序的蚀刻条件下连续实施过蚀刻时,如使纵轴为最小间隔(Minimum Bar(相邻的孔彼此之间的最薄的部位的隔壁的厚度))和孔深、使横轴为蚀刻时间的图6的图所示,在过蚀刻工序(蚀刻时间680秒附近以后)中,与主蚀刻工序相比,产生最小间隔的急剧减少的问题。另外,为了抑制最小间隔的急剧减少,当在过蚀刻工序中堆积物较多的条件下进行蚀刻时,由于上部开口径(Top CD)较小,所以堵塞孔。
本发明是针对现有的情况而完成的,其目的在于:提供能够形成高纵横比的接触孔,并且能够抑制过蚀刻工序中最小间隔的急剧减少的等离子体蚀刻方法和半导体装置的制造方法。
用于解决课题的方法
本发明的等离子体蚀刻方法的一个方式,在处理腔室内收纳被处理基板,使导入到上述处理腔室内的处理气体产生等离子体,通过该等离子体,隔着掩膜层,在形成于上述被处理基板的蚀刻停止层上的氧化硅膜上形成孔,该等离子体蚀刻方法的特征在于,包括:对上述氧化硅膜进行蚀刻的主蚀刻工序;和在上述主蚀刻工序之后,在上述蚀刻停止层至少一部分露出的状态下进行的蚀刻工序,在上述蚀刻停止层至少一部分露出的状态下进行的蚀刻工序包括多次反复交替地进行第一蚀刻工序和第二蚀刻工序的工序,所述第一蚀刻工序使上述处理气体为C4F6气体、Ar气体和O2气体的混合气体,所述第二蚀刻工序使上述处理气体为C4F8气体、Ar气体和O2气体的混合气体,或者为C3F8气体、Ar气体和O2气体的混合气体。
本发明的半导体装置的制造方法的一个方式,其具有等离子体蚀刻工序,上述等离子体蚀刻工序在处理腔室内收纳被处理基板,使导入到上述处理腔室内的处理气体产生等离子体,通过该等离子体,隔着掩膜层在形成于上述被处理基板的蚀刻停止层上的氧化硅膜上形成孔,该半导体装置的制造方法的特征在于:上述等离子体蚀刻工序包括:对上述氧化硅膜进行蚀刻的主蚀刻工序;和在上述主蚀刻工序之后,在上述蚀刻停止层至少一部分露出的状态下进行的蚀刻工序,在上述蚀刻停止层至少一部分露出的状态下进行的蚀刻工序包括多次反复交替地进行第一蚀刻工序和第二蚀刻工序的工序,所述第一蚀刻工序使上述处理气体为C4F6气体、Ar气体和O2气体的混合气体的,所述第二蚀刻工序使上述处理气体为C4F8气体、Ar气体和O2气体的混合气体,或者为C3F8气体、Ar气体和O2气体的混合气体。
发明效果
根据本发明,能够提供一种能够形成高纵横比的接触孔,并且能够抑制过蚀刻工序中的最小间隔的急剧减少的等离子体蚀刻方法和半导体装置的制造方法。
附图说明
图1是模式地表示本发明的一个实施方式使用的等离子体蚀刻装置的概略结构的图。
图2是用于说明一个实施方式的等离子体蚀刻方法的工序的图。
图3是表示一个实施方式的等离子体蚀刻方法的工序的流程图。
图4是表示一个实施方式的等离子体蚀刻方法中的蚀刻时间与最小间隔和孔深的关系的图。
图5是表示另一个实施方式的等离子体蚀刻方法中的蚀刻时间与最小间隔和孔深的关系的图。
图6是表示通过EPD检测的信号波形的例子的图表。
图7是表示蚀刻时间与蚀刻深度的关系的例子的图表。
图8是表示现有技术中的蚀刻时间与最小间隔和孔深的关系的图。
符号说明
W  半导体晶片
201  氮化硅层(蚀刻停止层)
202  二氧化硅层
203  氮化硅层
204  二氧化硅层
205  多晶硅层
206  开口
210  孔
211  保护膜
具体实施方式
以下,参照附图对本发明的一个实施方式进行说明。图1是表示本实施方式使用的等离子体蚀刻装置的结构的图,首先,对等离子体蚀刻装置的结构进行说明。
等离子体蚀刻装置包括气密地构成并形成为电接地电位的处理腔室1。该处理腔室1呈圆筒状,例如由在表面形成有阳极氧化膜的铝等构成。在处理腔室1内设置有水平地支撑作为被处理基板的半导体晶片W的载置台2。
载置台2的基座2a由导电性金属例如铝等构成,具有作为下部电极的功能。该载置台2借助绝缘板3支撑在导体的支撑台4。另外,在载置台2的上方的外周设置有例如由单晶硅形成的聚焦环5。另外,以包围载置台2和支撑台4的方式设置有例如由石英等形成的圆筒状的内壁部件3a。
载置台2的基座2a经由第一匹配器11a与第一高频电源10a连接,并且,经由第二匹配器11b与第二高频电源10b连接。第一高频电源10a是等离子体产生用的电源,从该第一高频电源10a向载置台2的基座2a供给规定频率(27MHz以上例如40MHz)的高频电力。另外,第二高频电源10b是离子引入用(偏压用)的电源,从该第二高频电源10b向载置台2的基座2a供给比第一高频电源10a的频率低的规定频率(13.56MHz以下例如3.2MHz)的高频电力。另一方面,在载置台2的上方,与载置台2平行相对地设置有具有作为上部电极的功能的喷淋头16,喷淋头16和载置台2作为一对电极(上部电极和下部电极)发挥功能。
在载置台2的上表面设置有用于静电吸附半导体晶片W的静电卡盘6。该静电卡盘6被构成为,在绝缘体6b之间存在有电极6a,电极6a与直流电源12连接。并且通过从直流电源12向电极6a施加直流电压,而通过库仑力吸附半导体晶片W。
在支撑台4的内部形成有制冷剂流路4a,制冷剂流路4a与制冷剂入口配管4b、制冷剂出口配管4c连接。通过使适当的制冷剂例如冷却水等在制冷剂流路4a循环,能够将支撑台4和载置台2控制在规定的温度。另外,以贯通载置台2等的方式设置有向半导体晶片W的背面侧供给氦气等的冷热传导用气体(背面侧气体)的背面侧气体供给配管30。该背面侧气体供给配管30与未图示的背面侧气体供给源连接。通过这些构成,能够将通过静电卡盘6被吸附保持在载置台2的上表面的半导体晶片W控制在规定的温度。
上述的喷淋头16被设置于处理腔室1的顶壁部分。喷淋头16具有主体部16a和形成电极板的上部顶板16b,借助绝缘性部件45被支持于处理腔室1的上部。主体部16a由导电性材料例如表面被阳极氧化处理过的铝形成,在其下部可装拆地支撑上部顶板16b。
在主体部16a的内部设置有气体扩散室16c、16d,以位于该气体扩散室16c、16d的下部的方式在主体部16a的底部形成有多个气体流通孔16e。气体扩散室16c、16d被划分为设置于中央部的气体扩散室16c和设置于周缘部的气体扩散室16d两部分,在中央部和周缘部能够独立地改变处理气体的供给状态。
另外,在上部顶板16b,以沿厚度方向贯通该上部顶板16b的方式设置有气体导入孔16f,使气体导入孔16f与上述的气体流通孔16e重合。通过这样的结构,被供给到气体扩散室16c、16d的处理气体,经由气体流通孔16e和气体导入孔16f被喷淋状地分散供给到处理腔室1内。此外,在主体部16a等设置有用于使制冷剂循环的未图示的配管,能够在等离子体蚀刻处理中将喷淋头16冷却至所期望的温度。
在上述的主体部16a形成有用于向气体扩散室16c、16d导入处理气体的2个气体导入口16g、16h。这些气体导入口16g、16h与气体供给配管15a、15b连接,该气体供给配管15a、15b的另一端与供给蚀刻用的处理气体的处理气体供给源15连接。在气体供给配管15a从上游一侧依次设置有质量流量控制器(MFC)15c和开闭阀V1。另外,在气体供给配管15b从上游一侧依次设置有质量流量控制器(MFC)15d和开闭阀V2。
用于等离子体蚀刻的处理气体从处理气体供给源15经由气体供给配管15a、15b被供给到气体扩散室16c、16d,从该气体扩散室16c、16d经由气体流通孔16e和气体导入孔16f被喷淋状地分散供给到处理腔室1内。
另外,气体供给配管15b与附加气体供给配管151的一端连接,附加气体供给配管151的另一端与附加气体供给源150连接。在附加气体供给配管151从上游一侧起依次设置有质量流量控制器(MFC)152和开闭阀V3。通过这些机构,能够除了来自上述处理气体供给源15的处理气体之外,还能够将来自附加气体供给源150的附加气体从设置于周边部的气体扩散室16d朝向半导体晶片W的周缘部供给。
上述的作为上部电极的喷淋头16经由低通滤波器(LPF)51与可变直流电源52电连接。该可变直流电源52通过导通和断开开关53,能够导通和断开供电。可变直流电源52的电流和电压以及导通和断开开关53的导通和断开,通过后文所述的控制部60进行控制。此外,如后文所述,从第一高频电源10a、第二高频电源10b对载置台2施加高频在处理空间产生等离子体时,根据需要通过控制部60使导通和断开开关53导通,对作为上部电极的喷淋头16施加规定的直流电压。
以从处理腔室1的侧壁向喷淋头16的高度位置的上方延伸的方式设置有圆筒状的接地导体1a。该圆筒状的接地导体1a在其上部具有顶壁。
在处理腔室1的底部形成有排气口71,该排气口71经由排气管72与排气装置73连接。排气装置73具有真空泵,通过使该真空泵动作能够将处理腔室1内减压至规定的真空度。另一方面,在处理腔室1的侧壁设置有半导体晶片W的搬入搬出口74,在该搬入搬出口74设置有开闭该搬入搬出口74的闸阀75。
图中76、77是装拆自如的屏蔽罩。屏蔽罩76沿处理腔室1的内壁面设置,具有防止蚀刻副产物(沉淀物)附着到处理腔室1的作用。在该屏蔽罩76的与半导体晶片W大致相同高度的位置,设置有与大地直流连接的导电性部件(GND块)79,由此能够防止异常放电。
在上述结构的等离子体蚀刻装置中,通过控制部60总体控制等离子体蚀刻装置的动作。该控制部60设置有:具有CPU的控制等离子体蚀刻装置的各部分的过程控制器61;用户界面62;和存储部63。
用户界面62包括:工序管理者用于管理等离子体蚀刻装置进行指令的输入操作的键盘;和将等离子体蚀刻装置的运行状况可视化显示的显示器等。
在存储部63收纳有存储有用于根据过程控制器61的控制实现由等离子体蚀刻装置执行的各种处理的控制程序(软件)和处理条件数据等的菜单。对应需要,根据来自用户界面62的指示等,从存储部63读出任意的菜单,并使过程控制器61执行,由此,在过程控制器61的控制下,在等离子体蚀刻装置进行所期望的处理。另外,控制程序和处理条件数据等的菜单能够利用收纳于计算机可读取的计算机存储介质(例如硬盘、CD、软盘、半导体存储器等)等的状态,或者从另外的装置例如经由专用线路随时传送而在线利用。
另外,在处理腔室1的侧壁部配置有终点检测装置(EPD)80,经由配置于处理腔室1的侧壁部的窗81,对处理腔室1内的处理空间中的等离子体的发光强度的变化进行检测,能够检测出蚀刻处理的终点。
接着,关于在上述结构的等离子体蚀刻装置中,对形成于半导体晶片W的二氧化硅层等进行等离子体蚀刻的步骤进行说明。首先,打开闸阀75,半导体晶片W通过未图示的搬送机器人,经由未图示的负载锁定室从搬入搬出口74被搬入到处理腔室1内,被载置于载置台2上。此后,使搬送机器人退到处理腔室1外,并关闭闸阀75。然后,通过排气装置73的真空泵经由排气口71对处理腔室1内进行排气。
在处理腔室1内达到规定的真空度之后,从处理气体供给源15向处理腔室1内导入规定的处理气体(蚀刻气体),处理腔室1内被保持为规定的压力。此时,能够使来自处理气体供给源15的处理气体的供给状态在中央部和周缘部不同,另外,能够将处理气体的整体的供给量中的来自中央部的供给量与来自周缘部的供给量的比率控制为所期望的值。进而,根据需要,能够向周缘部供给来自附加气体供给源150的附加气体。
然后,在该状态下,从第一高频电源10a向载置台2供给频率例如40MHz的高频电力。另外,从第二高频电源10b向载置台2的基座2a供给用于离子引入的频率例如3.2MHz的高频电力(偏压用)。此时,从直流电源12向静电卡盘6的电极6a施加规定的直流电压,半导体晶片W通过库仑力被吸附到静电卡盘6。
如上所述,通过对作为下部电极的载置台2施加高频电力,在作为上部电极的喷淋头16和作为下部电极的载置台2之间形成有电场。通过该电场,在半导体晶片W存在的空间产生放电,通过由此形成的处理气体的等离子体,对在半导体晶片W上形成的二氧化硅层进行蚀刻处理。
另外,如前文所述,在等离子体处理中能够对喷淋头16施加直流电压,所以具有以下那样的效果。即,基于程序,有时要求高电子密度且低离子能的等离子体。在这样的情况下如果采用直流电压,则被打入半导体晶片W的离子能被抑制且等离子体的电子密度增加,由此,半导体晶片W的成为蚀刻对象的膜的蚀刻速度上升,且成为设置于蚀刻对象的上部的掩膜的膜的溅射速率降低,选择性提高。
然后,当上述的蚀刻处理结束时,停止高频电力的供给、直流电压的供给和处理气体的供给,以与上述的顺序相反的顺序,从处理腔室1内搬出半导体晶片W。
接着,参照图2、图3,关于本发明的实施方式涉及的等离子体蚀刻方法,对形成高纵横比的接触孔的情况进行说明。图2是模式地表示被等离子体蚀刻的半导体晶片W的截面结构,图3是表示等离子体蚀刻工序的流程图。
如图2(a)所示,在半导体晶片W上,在作为蚀刻停止层的氮化硅层201(厚30nm)上形成有二氧化硅层202(厚2000nm)。在二氧化硅层202(厚2000nm)上形成有氮化硅层203(厚100nm)、二氧化硅层204(厚100nm)、和作为掩膜层的多晶硅层205(厚500nm)。在多晶硅层205形成的开口206的上部开口径(Top CD)形成为39nm,底部开口径(Bottom CD)形成为30nm,相邻的开口206彼此之间的间隔形成为40nm。
从上述的状态开始,首先,依次对二氧化硅层204和氮化硅层203进行蚀刻,成为图2(b)的状态。然后,进行蚀刻二氧化硅层202形成高纵横比的孔210的蚀刻工序。该蚀刻工序通过将二氧化硅层202蚀刻至氮化硅层201的主蚀刻工序(图3的工序301)和在底部的氮化硅层201露出之前或从开始露出进行的蚀刻工序(以下称过蚀刻工序)(图3的工序302)的2个阶段进行。
进行上述的主蚀刻直至二氧化硅层202的底部附近,成为图2(c)的状态,此后,进行过蚀刻工序。该过蚀刻工序通过多次反复交替第一蚀刻工序(图3的工序303)和第二蚀刻工序(图3的工序304)直至达到规定次数为止的工序(图3的工序305)来进行,第一蚀刻工序使处理气体为C4F6气体和Ar气体与O2气体的混合气体,第二蚀刻工序使处理气体为C4F8气体和Ar气体与O2气体的混合气体,或者为C3F8气体和Ar气体与O2气体的混合气体。
上述第一蚀刻工序是堆积物较多的蚀刻条件,如图2(d)所示,在孔210内形成保护膜211。另一方面,上述第二蚀刻工序是堆积物较少的蚀刻条件,如图2(e)所示,在孔210内形成的保护膜211被蚀刻除去,并且孔210的底部被蚀刻。如图2(e)所示,在形成于孔210内的保护膜211被蚀刻除去之后,再次进行第一蚀刻工序,如图2(f)所示,在孔210内形成保护膜211。
这样,在多次反复进行第一蚀刻工序和第二蚀刻工序之后,最后进行第二蚀刻工序,如图2(g)所示,形成达到作为蚀刻停止层的氮化硅层201的高纵横比的孔210。
上述过蚀刻工序中的第一蚀刻工序和第二蚀刻工序的一次的时间较短,但是可以进一步精细地控制保护膜211的状况。但是,为了能够大致全部置换处理腔室1内的气体,而需要数秒左右。因此,第一蚀刻工序和第二蚀刻工序的一次的时间,优选从数秒至十几秒左右例如3秒~15秒左右,进一步优选为5秒~10秒左右。另外,该过蚀刻工序进行1分~数分钟左右。因此,将基于第一蚀刻工序和第二蚀刻工序的一个循环进行数个循环至数十个循环左右。
这样,在本实施方式中,多次反复交替第一蚀刻工序和第二蚀刻工序,来进行过蚀刻工序。这是基于以下那样的理由。即,例如,当在上述的主蚀刻工序的蚀刻工序条件下连续实施过蚀刻工序时,如纵轴为最小间隔(Minimum Bar(相邻的孔彼此之间的最薄的部位的隔壁的厚度))和孔深、横轴为蚀刻时间的图6的图表所示,在过蚀刻工序中,与主蚀刻工序相比,最小间隔急剧减少。
这被推侧是由于:在主蚀刻工序中通过二氧化硅层202的蚀刻消耗的氟离子,在过蚀刻工序中过剩而蚀刻孔210的侧壁部分。另一方面,如上文所述,为了抑制该最小间隔的急剧减少,当在过蚀刻工序中在堆积物较多的条件下进行蚀刻时,由于上部开口径(Top CD)较小,因此堵塞孔210。
因此,在本实施方式中,在短时间内多次反复交替堆积物较多的蚀刻条件的第一蚀刻工序和堆积物较少的蚀刻条件的第二蚀刻工序来进行过蚀刻工序,形成有保护膜211并实施过蚀刻工序。由此,能够抑制过蚀刻工序中的最小间隔的减少,并能够防止孔210被堆积物堵塞。
在这里,上述主蚀刻工序与过蚀刻工序的切换例如可以根据图1所示的终点检测装置(EPD)80的检测结果来进行。即,例如,如纵轴为发光强度、横轴为蚀刻时间的图6的图表所示,对来自于波长为387nm的氮的发光强度进行检测,测定其变化时,如果底部的氮化硅层201开始露出,开始氮化硅层201的蚀刻时,发光强度开始上升。因此,例如,可以将波长为387nm的光的发光强度开始上升的点(恰好时间)作为主蚀刻工序与过蚀刻工序的切换点。
另外,图6的图表中的波长为387nm的光的发光强度的变化是在以下条件下进行蚀刻时的变化。
压力:4.0Pa(30mTorr)
处理气体:C4F6/CF4/Ar/O2=30/20/300/26sccm
向周缘部侧追加的气体:C4F8/O2=4/7sccm
高频电力(高频率/低频率):2300W/4500W
直流电压:-150V
中央部的气体流量比:50%
另外,如纵轴为氧化膜蚀刻深度、横轴为蚀刻时间的图7的图表所示,氧化膜蚀刻深度与蚀刻时间的关系为,在一次蚀刻条件下,大致是线性关系(在图7的例子中y=1.38x+1157)。可以预先在各个蚀刻时间,通过基于SEM的截面观察对这样的关系进行测定,并在氧化膜的蚀刻深度达到一定深度的蚀刻时间,进行主蚀刻工序与过蚀刻工序的切换。在图7的图表表示的例子中,氧化膜的厚度为2100nm,例如在氧化膜的蚀刻时间683秒结束氧化膜的蚀刻。因此,例如可以在该时间进行蚀刻工序与过蚀刻工序的切换。
作为第一实施例,使用图1所示的等离子体蚀刻装置,在以下那样的蚀刻条件下进行了二氧化硅层202的等离子体蚀刻。
(主蚀刻工序)
压力:2.0Pa(15mTorr)
处理气体:C4F6/C4F8/Ar/O2/CO=37/33/300/40/180sccm
向周缘部侧追加的气体:C4F8/O2=5/5sccm
高频电力(高频率/低频率):2500W/7800W
直流电压:-150V
中央部的气体流量比:40%
(过蚀刻工序)
(第一蚀刻工序)
压力:2.0Pa(15mTorr)
处理气体:C4F6/Ar/O2=54/200/52sccm
高频电力(高频率/低频率):1000W/6000W
直流电压:-150V
中央部的气体流量比:40%
一次蚀刻时间:10秒
(第二蚀刻工序)
压力:2.0Pa(15mTorr)
处理气体:C4F8/Ar/O2=85/200/25sccm
高频电力(高频率/低频率):1000W/6000W
直流电压:-150V
中央部的气体流量比:40%
一次蚀刻时间:10秒
使纵轴为最小间隔(Minimum Bar(相邻的孔彼此之间的最薄的部位的隔壁的厚度))和孔深、横轴为蚀刻时间的图4表示在上述第一实施例中测定的最小间隔的值和孔深。如该图4的图表所示,在第一实施例中,能够抑制过蚀刻工序中的最小间隔的急剧减少。
接着,作为第二实施例,除了使上述第一实施例的过蚀刻工序中的第二蚀刻工序的处理气体中的C4F8为C3F8这点之外,采用与第一实施例相同的处理条件,进行等离子体蚀刻。其结果,第二实施例中的最小间隔的值的变化,与第一实施例的情况大致相同。
接着,作为第三实施例,也在与上述第一实施例的过蚀刻工序同样的蚀刻条件下,多次反复第一蚀刻工序和第二蚀刻工序来进行主蚀刻工序,接着也与过蚀刻工序同样地进行蚀刻。使纵轴为最小间隔(Minimum Bar(相邻的孔彼此之间的最薄的部位的隔壁的厚度))和孔深、横轴为蚀刻时间的图5表示在上述第三实施例中测定的最小间隔的值和孔深。即使在该第三实施例中,也能够抑制过蚀刻工序中的最小间隔的急剧减少。
如以上说明的那样,根据本实施方式和实施例,能够提供能够形成高纵横比的接触孔,并且能够抑制过蚀刻工序中最小间隔的急剧减少的等离子体蚀刻方法和半导体装置的制造方法以及计算机存储介质。此外,本发明并不限定于上述的本实施方式和实施例,可以进行各种变形。

Claims (8)

1.一种等离子体蚀刻方法,在处理腔室内收纳被处理基板,使导入到所述处理腔室内的处理气体产生等离子体,通过该等离子体,隔着掩膜层在形成于所述被处理基板的蚀刻停止层上的氧化硅膜上形成孔,该离子体蚀刻方法的特征在于,其包括:
对所述氧化硅膜进行蚀刻的主蚀刻工序;和
在所述主蚀刻工序之后,在所述蚀刻停止层至少一部分露出的状态下进行的蚀刻工序,
在所述蚀刻停止层至少一部分露出的状态下进行的蚀刻工序包括多次反复交替地进行第一蚀刻工序和第二蚀刻工序的工序,所述第一蚀刻工序使所述处理气体为C4F6气体、Ar气体和O2气体的混合气体,所述第二蚀刻工序使所述处理气体为C4F8气体、Ar气体和O2气体的混合气体,或者为C3F8气体、Ar气体和O2气体的混合气体。
2.如权利要求1所述的等离子体蚀刻方法,其特征在于:
将所述第一蚀刻工序和所述第二蚀刻工序进行一次的时间在3秒至15秒的范围内。
3.如权利要求1或2所述的等离子体蚀刻方法,其特征在于:
所述蚀刻停止层由氮化硅形成。
4.如权利要求1或2所述的等离子体蚀刻方法,其特征在于:
所述掩膜层由多晶硅形成。
5.一种半导体装置的制造方法,其具有等离子体蚀刻工序,所述等离子体蚀刻工序在处理腔室内收纳被处理基板,使导入到所述处理腔室内的处理气体产生等离子体,通过该等离子体,隔着掩膜层在形成于所述被处理基板的蚀刻停止层上的氧化硅膜上形成孔,该半导体装置的制造方法的特征在于:
所述等离子体蚀刻工序包括:
对所述氧化硅膜进行蚀刻的主蚀刻工序;和
在所述主蚀刻工序之后,在所述蚀刻停止层至少一部分露出的状态下进行的蚀刻工序,
在所述蚀刻停止层至少一部分露出的状态下进行的蚀刻工序包括多次反复交替地进行第一蚀刻工序和第二蚀刻工序的工序,所述第一蚀刻工序使所述处理气体为C4F6气体、Ar气体和O2气体的混合气体,所述第二蚀刻工序使所述处理气体为C4F8气体、Ar气体和O2气体的混合气体,或者为C3F8气体、Ar气体和O2气体的混合气体。
6.如权利要求5所述的半导体装置的制造方法,其特征在于:
将所述第一蚀刻工序和所述第二蚀刻工序进行一次的时间在3秒至15秒的范围内。
7.如权利要求5或6所述的半导体装置的制造方法,其特征在于:
所述蚀刻停止层由氮化硅形成。
8.如权利要求5或6所述的半导体装置的制造方法,其特征在于:
所述掩膜层由多晶硅形成。
CN201210048412.3A 2011-02-28 2012-02-28 等离子体蚀刻方法和半导体装置的制造方法 Active CN102651336B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2011042501 2011-02-28
JP2011-042501 2011-02-28
JP2012039978A JP5839689B2 (ja) 2011-02-28 2012-02-27 プラズマエッチング方法及び半導体装置の製造方法並びにコンピュータ記憶媒体
JP2012-039978 2012-02-27

Publications (2)

Publication Number Publication Date
CN102651336A true CN102651336A (zh) 2012-08-29
CN102651336B CN102651336B (zh) 2014-09-10

Family

ID=45833116

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210048412.3A Active CN102651336B (zh) 2011-02-28 2012-02-28 等离子体蚀刻方法和半导体装置的制造方法

Country Status (6)

Country Link
US (1) US9039909B2 (zh)
EP (1) EP2492955B1 (zh)
JP (1) JP5839689B2 (zh)
KR (1) KR101912776B1 (zh)
CN (1) CN102651336B (zh)
TW (1) TWI528449B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103811416A (zh) * 2014-02-27 2014-05-21 华进半导体封装先导技术研发中心有限公司 硅通孔侧壁的平坦化方法
CN103915330A (zh) * 2013-01-09 2014-07-09 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法
CN104704612A (zh) * 2012-11-01 2015-06-10 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
CN105845563A (zh) * 2015-01-14 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种控制氧化硅沟槽底部平坦化的刻蚀方法
CN104704612B (zh) * 2012-11-01 2016-11-30 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
CN110021524A (zh) * 2017-12-27 2019-07-16 东京毅力科创株式会社 蚀刻方法
TWI817211B (zh) * 2020-10-15 2023-10-01 日商力森諾科股份有限公司 氟丁烯之保管方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9840778B2 (en) 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
JP2014082228A (ja) * 2012-10-12 2014-05-08 Tokyo Electron Ltd プラズマエッチング方法
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
JP6267953B2 (ja) * 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
JP6219179B2 (ja) * 2014-01-20 2017-10-25 東京エレクトロン株式会社 プラズマ処理装置
JP6158111B2 (ja) * 2014-02-12 2017-07-05 東京エレクトロン株式会社 ガス供給方法及び半導体製造装置
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
KR102203460B1 (ko) 2014-07-11 2021-01-18 삼성전자주식회사 나노구조 반도체 발광소자의 제조방법
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
JP6557642B2 (ja) * 2016-09-05 2019-08-07 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6878174B2 (ja) * 2017-06-29 2021-05-26 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
KR102487054B1 (ko) 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
WO2020051063A2 (en) * 2018-09-05 2020-03-12 Tokyo Electron Limited Surface modification process
KR20230066079A (ko) * 2020-10-15 2023-05-12 가부시끼가이샤 레조낙 플루오로부텐의 보관 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11111693A (ja) * 1997-10-06 1999-04-23 Sony Corp コンタクトホール形成方法
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US20040072430A1 (en) * 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US20050136682A1 (en) * 2003-04-09 2005-06-23 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
CN100524646C (zh) * 2004-06-03 2009-08-05 兰姆研究有限公司 利用气体化学物质和碳氢化合物添加的定期调制的等离子体剥离的方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000077625A (ja) 1998-08-31 2000-03-14 Hitachi Ltd 半導体集積回路装置の製造方法
JP3400770B2 (ja) 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
JP4120272B2 (ja) * 2002-05-29 2008-07-16 沖電気工業株式会社 絶縁膜のエッチング方法および半導体装置のコンタクト形成方法
JP2008021809A (ja) * 2006-07-12 2008-01-31 Elpida Memory Inc 半導体装置およびその製造方法
JP4922718B2 (ja) * 2006-10-04 2012-04-25 株式会社日立ハイテクノロジーズ 絶縁膜ドライエッチング方法
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
TW200952126A (en) * 2008-06-12 2009-12-16 Inotera Memories Inc Method for fabricating a semiconductor memory device
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11111693A (ja) * 1997-10-06 1999-04-23 Sony Corp コンタクトホール形成方法
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US20040072430A1 (en) * 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US20050136682A1 (en) * 2003-04-09 2005-06-23 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
CN100524646C (zh) * 2004-06-03 2009-08-05 兰姆研究有限公司 利用气体化学物质和碳氢化合物添加的定期调制的等离子体剥离的方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104704612A (zh) * 2012-11-01 2015-06-10 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
CN104704612B (zh) * 2012-11-01 2016-11-30 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
CN103915330A (zh) * 2013-01-09 2014-07-09 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法
CN103915330B (zh) * 2013-01-09 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法
CN103811416A (zh) * 2014-02-27 2014-05-21 华进半导体封装先导技术研发中心有限公司 硅通孔侧壁的平坦化方法
CN105845563A (zh) * 2015-01-14 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种控制氧化硅沟槽底部平坦化的刻蚀方法
CN105845563B (zh) * 2015-01-14 2018-10-19 中芯国际集成电路制造(上海)有限公司 一种控制氧化硅沟槽底部平坦化的刻蚀方法
CN110021524A (zh) * 2017-12-27 2019-07-16 东京毅力科创株式会社 蚀刻方法
CN110021524B (zh) * 2017-12-27 2022-12-23 东京毅力科创株式会社 蚀刻方法
TWI817211B (zh) * 2020-10-15 2023-10-01 日商力森諾科股份有限公司 氟丁烯之保管方法

Also Published As

Publication number Publication date
KR101912776B1 (ko) 2018-10-29
US20120220135A1 (en) 2012-08-30
US9039909B2 (en) 2015-05-26
KR20120098525A (ko) 2012-09-05
CN102651336B (zh) 2014-09-10
JP2012195576A (ja) 2012-10-11
EP2492955A1 (en) 2012-08-29
JP5839689B2 (ja) 2016-01-06
TWI528449B (zh) 2016-04-01
EP2492955B1 (en) 2014-01-08
TW201250825A (en) 2012-12-16

Similar Documents

Publication Publication Date Title
CN102651336B (zh) 等离子体蚀刻方法和半导体装置的制造方法
CN101521158B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
CN101692423B (zh) 等离子体蚀刻方法
CN100487861C (zh) 等离子体蚀刻方法和等离子体蚀刻装置
CN103155115B (zh) 半导体装置的制造方法
CN101241859B (zh) 等离子体蚀刻方法和装置、控制程序和计算机存储介质
CN102208322B (zh) 等离子体处理装置和半导体装置的制造方法
CN102194686B (zh) 等离子体蚀刻方法
CN101826435B (zh) 等离子蚀刻方法及等离子蚀刻装置
CN101800161B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
TWI508164B (zh) Manufacturing method of semiconductor device
CN102655086B (zh) 半导体器件的制造方法
CN1992164B (zh) 等离子体蚀刻方法
CN101471257B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
CN101546685B (zh) 等离子体处理装置和等离子体蚀刻方法
KR100876010B1 (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
CN102187439A (zh) 等离子体蚀刻方法及等离子体蚀刻装置
CN100521111C (zh) 等离子体蚀刻方法
CN104900511A (zh) 等离子体蚀刻方法和等离子体蚀刻装置
CN102347231B (zh) 等离子体处理方法和等离子体处理装置
CN101609799B (zh) 等离子体蚀刻方法和等离子体蚀刻装置
US20140299576A1 (en) Plasma processing method and plasma processing apparatus
KR20050011349A (ko) 바이어스 제어 장치
CN100426473C (zh) 等离子体蚀刻方法及等离子体蚀刻装置
TW201421572A (zh) 電漿蝕刻方法及電漿蝕刻裝置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant